Jia Huang

发表

Victor Reiner, Jia Huang, Joel Brewster Lewis, 2017, J. Lond. Math. Soc..

Gang Chen, Alois Knoll, Kai Huang, 2013, 2013 IEEE 24th International Conference on Application-Specific Systems, Architectures and Processors.

Deqing Wang, Hui Zhang, Liang Wang, 2009, 2009 International Forum on Computer Science-Technology and Applications.

Christopher C. Yang, Xuning Tang, Jia Huang, 2011, 2011 IEEE Third Int'l Conference on Privacy, Security, Risk and Trust and 2011 IEEE Third Int'l Conference on Social Computing.

Alois Knoll, Kai Huang, Christian Buckl, 2012, DAC Design Automation Conference 2012.

Shilong Ma, Gang Ye, Zhongwen Li, 2013, Telecommun. Syst..

Jun-Ming Xu, Jia Huang, Yongchang Cao, 2013, Ars Comb..

Lei Gao, Rainer Leupers, Gerd Ascheid, 2009, CODES+ISSS '09.

Stanley Peters, Jia Huang, Matthew Frampton, 2009, EMNLP.

Hui Zhang, Xin Song, Jia Huang, 2009, 2009 Asia-Pacific Conference on Computational Intelligence and Industrial Applications (PACIIA).

Jia Huang, Shaojiang Chen, Shaojiang Chen, 2020 .

Hu-Chen Liu, Jia Huang, Xiao-Yue You, 2017, International journal of environmental research and public health.

Jia Huang, Jia Huang, 2019, Discret. Appl. Math..

Ping Wang, Jia Huang, Shilong Ma, 2011, 2011 International Conference on Mechatronic Science, Electric Engineering and Computer (MEC).

Jia Huang, Marie-Laetitia Pastor, Christian Garnier, 2017 .

Shuai Su, Jia Huang, Jidong Lv, 2019, 2019 IEEE Intelligent Transportation Systems Conference (ITSC).

Jia Huang, Madison Mickey, Jianbai Xu, 2017, J. Integer Seq..

Alois Knoll, Jan Olaf Blech, Christian Buckl, 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Jun-Ming Xu, Jia Huang, 2007, Discret. Math..

Alois Knoll, Christian Buckl, Jia Huang, 2010, 2010 Conference on Design and Architectures for Signal and Image Processing (DASIP).

Fengjuan Wang, Jia Huang, Ningmei Yu, 2018, IEICE Electron. Express.

Jia Huang, Yadong Jiang, Xiaosong Du, 2010, International Symposium on Advanced Optical Manufacturing and Testing Technologies (AOMATT).

Weiguang Sheng, Jia Huang, Qin Wang, 2019, Journal of Digital Imaging.

Jun-Ming Xu, Yezhou Wu, Jia Huang, 2007, Discret. Math..

David J. Yates, Girish J. Gulati, Marco Marabelli, 2015, AMCIS.

Fengjuan Wang, Ningmei Yu, Jia Huang, 2019, IEICE Electron. Express.

Jia Huang, Zhongyi Zhu, Zaigen Mu, 2010, 2010 International Conference on Management and Service Science.

Xiaohua Hu, Jia Huang, Caimei Lu, 2011, 2011 IEEE International Conference on Granular Computing.

Jia Huang, Xiaohan Wu, Jia Huang, 2017, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Jia Huang, Ling Tong, Yunping Chen, 2017, 2017 IEEE International Geoscience and Remote Sensing Symposium (IGARSS).

Hao Ding, Jia Huang, Yong Liu, 2016, 2016 IEEE International Symposium on Multimedia (ISM).

Yang Li, Weiwei Liu, Yang Liu, 2017 .

Alois Knoll, Christian Buckl, Jia Huang, 2014, Microprocess. Microsystems.

Alois Knoll, Jan Olaf Blech, Christian Buckl, 2011, 2011 14th Euromicro Conference on Digital System Design.

Jia Huang, Nickolas Hein, Nickolas Hein, 2015, Eur. J. Comb..

Bin Dai, Jia Huang, Zhuojun Zhuang, 2019, 2019 IEEE 5th International Conference on Computer and Communications (ICCC).

Jun-Ming Xu, Jia Huang, Junming Xu, 2008, Discret. Math..

Jun-Ming Xu, Jia Huang, Jian-Wei Wang, 2009, Discret. Appl. Math..

Jun-Ming Xu, Fang Tian, Jia Huang, 2006, Discret. Math..

Alois Knoll, Kai Huang, Christian Buckl, 2012, Design Automation for Embedded Systems.

JinLing Qi, Jia Huang, ShaoLin Xiong, 2020, SCIENTIA SINICA Physica, Mechanica & Astronomica.

Xiaohua Hu, Yong Liu, Hao Ding, 2014, ECIR.

Gang Chen, Alois Knoll, Kai Huang, 2013, 2013 Euromicro Conference on Digital System Design.

Xin Song, Xi Chen, Jia Huang, 2009, 2009 International Forum on Computer Science-Technology and Applications.

Jan Olaf Blech, Jia Huang, Anton Hattendorf, 2011, 2011 14th IEEE International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops.

Xiaohua Hu, Jia Huang, Jung-ran Park, 2011, iConference '11.

Jia Huang, Andrew Berget, 2012, Discret. Math..

Alois Knoll, Jan Olaf Blech, Christian Buckl, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jia Huang, 2020, Discret. Math..

Xiaohua Hu, Jia Huang, 2013, UEO '13.

Alois Knoll, Christian Buckl, Jia Huang, 2011, 2011 19th International Euromicro Conference on Parallel, Distributed and Network-Based Processing.

Alois Knoll, Christian Buckl, Jia Huang, 2011, 2011 Design, Automation & Test in Europe.

Jan Olaf Blech, Jia Huang, Anton Hattendorf, 2010, ArXiv.