Aritra Banerjee

发表

Abhijit Chatterjee, Sehun Kook, Aritra Banerjee, 2013, IEEE Design & Test.

Abhijit Chatterjee, Jacob A. Abraham, Suvadeep Banerjee, 2013, 2013 IEEE 19th International On-Line Testing Symposium (IOLTS).

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Aritra Banerjee, K. N. Shubhanga, Archis Banerjee, 2015, 2015 International Conference on Advances in Electrical Engineering (ICAEE).

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2012, J. Electron. Test..

Abhijit Chatterjee, Aritra Banerjee, Debashis Banerjee, 2013, 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.

Abhijit Chatterjee, Sabyasachi Deyati, Aritra Banerjee, 2014, 2014 IEEE 32nd VLSI Test Symposium (VTS).

Amit Konar, Ramadoss Janarthanan, Ayan Acharya, 2008, 2008 16th International Conference on Advanced Computing and Communications.

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2010, IEEE Design & Test of Computers.

Abhijit Chatterjee, Debesh Bhatta, Sabyasachi Deyati, 2013, 2013 14th Latin American Test Workshop - LATW.

Abhijit Chatterjee, Aritra Banerjee, A. Chatterjee, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2010, 2010 IEEE 16th International On-Line Testing Symposium.

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2010, 2010 19th IEEE Asian Test Symposium.

Hitesh Tewari, Aritra Banerjee, Michael Clear, 2020, 2020 IEEE Conference on Application, Information and Network Security (AINS).

Amit Konar, Ayan Acharya, Aritra Banerjee, 2008, 2008 First International Conference on Emerging Trends in Engineering and Technology.

Abhijit Chatterjee, Aritra Banerjee, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Aritra Banerjee, Rahmi Hezar, Lei Ding, 2015, ESSCIRC Conference 2015 - 41st European Solid-State Circuits Conference (ESSCIRC).

Shubhajit Roy Chowdhury, Hiranmay Saha, Aritra Banerjee, 2008, 2008 First International Conference on Emerging Trends in Engineering and Technology.

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2012, 2012 17th IEEE European Test Symposium (ETS).

Nathan Schemm, Baher Haroun, Aritra Banerjee, 2014, ESSCIRC 2014 - 40th European Solid State Circuits Conference (ESSCIRC).

Abhijit Chatterjee, Shyam Kumar Devarakond, Sabyasachi Deyati, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Anand J. Puppala, Aritra Banerjee, Surya S. C. Congress, 2020 .

Baher Haroun, Aritra Banerjee, Rahmi Hezar, 2015, IEEE Journal of Solid-State Circuits.

Abhijit Chatterjee, Sabyasachi Deyati, Aritra Banerjee, 2013, 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2011, 2011 IEEE International Test Conference.

Abhijit Chatterjee, Aritra Banerjee, Azad Naeemi, 2011, 2011 Sixteenth IEEE European Test Symposium.

Abhijit Chatterjee, Sabyasachi Deyati, Aritra Banerjee, 2012, 2012 IEEE 18th International On-Line Testing Symposium (IOLTS).

Abhijit Chatterjee, Debesh Bhatta, Sabyasachi Deyati, 2014, J. Electron. Test..

Amit Konar, Ayan Acharya, Aritra Banerjee, 2008, Int. J. Intell. Def. Support Syst..

Amit Konar, Lakhmi C. Jain, Ayan Acharya, 2008, Int. J. Intell. Def. Support Syst..

Jacob A. Abraham, Aritra Banerjee, Ranjit Gharpurey, 2010, 2010 28th VLSI Test Symposium (VTS).

Abhijit Chatterjee, Sehun Kook, Aritra Banerjee, 2011, 2011 Sixteenth IEEE European Test Symposium.

Dimitrios Kollias, Aritra Banerjee, 2019, ArXiv.

Abhijit Chatterjee, Aritra Banerjee, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2011, 29th VLSI Test Symposium.

Abhijit Chatterjee, Shreyas Sen, Aritra Banerjee, 2012, ISLPED '12.

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2011, 2011 24th Internatioal Conference on VLSI Design.

Abhijit Chatterjee, Shreyas Sen, Shyam Kumar Devarakond, 2013, 2013 18th IEEE European Test Symposium (ETS).

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Liesbeth Witters, Piet Wambacq, Mark Ingels, 2020, ECS Meeting Abstracts.

Abhijit Chatterjee, Vishwanath Natarajan, Shreyas Sen, 2015, IEEE Design & Test.

Aritra Banerjee, Rahmi Hezar, Lei Ding, 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).