Jeong-Don Lim

发表

Jiyoon Park, Dae-Seok Byeon, Jisu Kim, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

Sunghoon Kim, Jin-Tae Kim, Min-Jae Lee, 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

Jae-Hyung Lee, Young-Hyun Jun, Dong-Min Kim, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

Young-Hyun Jun, Dae-Hyun Kim, Young-Sik Kim, 2011, 2011 IEEE International Solid-State Circuits Conference.

Kyungmin Kim, Ki-Whan Song, Jonghoon Park, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Jae-Hyung Lee, Young-Hyun Jun, Dong-Min Kim, 2011, IEEE Journal of Solid-State Circuits.