Xiaowei Li

发表

Songwei Pei, Huawei Li, Xiaowei Li, 2011, 29th VLSI Test Symposium.

Huawei Li, Xiaowei Li, Zijian He, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiaowei Li, Zhongliang Chen, Yu Hu, 2011, Intell. Autom. Soft Comput..

Yu Hu, Xiaowei Li, Jing Ye, 2017, 2017 27th International Conference on Field Programmable Logic and Applications (FPL).

Yu Hu, Hui Liu, Huawei Li, 2008, 4th IEEE International Symposium on Electronic Design, Test and Applications (delta 2008).

Huawei Li, Xiaowei Li, Xiang Fu, 2010, IEICE Trans. Inf. Syst..

Xiaowei Li, Ji Li, Yinhe Han, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Qiang Xu, Xiaowei Li, Song Jin, 2013, International Symposium on Quality Electronic Design (ISQED).

Songwei Pei, Huawei Li, Xiaowei Li, 2012, 2012 IEEE International Conference on Computer Science and Automation Engineering (CSAE).

Huawei Li, Xiaowei Li, Zijian He, 2010, 2010 19th IEEE Asian Test Symposium.

Huawei Li, Xiaowei Li, Yinhe Han, 2006, Science in China Series F: Information Sciences.

Huawei Li, Xiaowei Li, Yinhe Han, 2011, IEICE Trans. Inf. Syst..

Huawei Li, Xiaowei Li, Minjin Zhang, 2008, 26th IEEE VLSI Test Symposium (vts 2008).

Xiaowei Li, Yue Yu, Shangping Ren, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Xiaowei Li, Ming Shao, Guanghui Li, 2005, Journal of Computer Science and Technology.

Yu Hu, Qiang Xu, Xiaowei Li, 2008, 2008 Design, Automation and Test in Europe.

Huawei Li, Xiaowei Li, Yinhe Han, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Jun Zhou, Huawei Li, Xiaowei Li, 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

Yu Hu, Huawei Li, Xiaowei Li, 2008, Journal of Computer Science and Technology.

Xiaowei Li, Paul Y. S. Cheung, 1998, Proceedings 1998 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (Cat. No.98EX223).

Xiaowei Li, Yinhe Han, Yanzhuo Tan, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Xiaowei Li, Lei Zhao, Yongjun Xu, 2006, 2006 1ST IEEE Conference on Industrial Electronics and Applications.

Xiaowei Li, Lei Zhao, Yongjun Xu, 2006, 2006 1ST IEEE Conference on Industrial Electronics and Applications.

Xiaowei Li, Quan Zhou, Yongjun Xu, 2006, 2006 1ST IEEE Conference on Industrial Electronics and Applications.

Xiaowei Li, Yinhe Han, Shaohua Lei, 2007, 16th Asian Test Symposium (ATS 2007).

Cheng Wang, Xiaowei Li, Yinhe Han, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Huawei Li, Xiaowei Li, Zijian He, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Huawei Li, Xiaowei Li, Minjin Zhang, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiaowei Li, Paul Y. S. Cheung, 1999, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).

Xiaowei Li, Yinhe Han, Xueliang Li, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tao Zhang, Xiaowei Li, Tao Lv, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Yu Hu, Fei Wang, Xiaowei Li, 2008, 4th IEEE International Symposium on Electronic Design, Test and Applications (delta 2008).

Huawei Li, Xiaowei Li, Yu Hu, 2007, TENCON 2007 - 2007 IEEE Region 10 Conference.

Xiaowei Li, Jianxin Wang, Yinhe Han, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Huawei Li, Xiaowei Li, Song Jin, 2010, 2010 19th IEEE Asian Test Symposium.

Huawei Li, Xiaowei Li, Shuguang Gong, 2005, IEEE Transactions on Consumer Electronics.

Songwei Pei, Huawei Li, Xiaowei Li, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Huawei Li, Xiaowei Li, Guihai Yan, 2016, IEEE Transactions on Computers.

Huawei Li, Xiaowei Li, Ying Wang, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Yue Zhang, Huawei Li, Xiaowei Li, 2003, 2003 Test Symposium.

Xiaowei Li, Ming Shao, Guanghui Li, 2003, 2003 Test Symposium.

Yu Hu, Xiaowei Li, Bing Li, 2014, 2014 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Qiang Xu, Xiaowei Li, Yinhe Han, 2008, 2008 Design, Automation and Test in Europe.

Xiaowei Li, Paul Y. S. Cheung, P. Cheung, 2000, Journal of Computer Science and Technology.

Yu Hu, Xiaowei Li, Jing Ye, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Huawei Li, Xiaowei Li, Yang Zhao, 2007, 2007 IEEE International High Level Design Validation and Test Workshop.

Huawei Li, Xiaowei Li, Yuntan Fang, 2011, 2011 Asian Test Symposium.

Huawei Li, Xiaowei Li, Yingxin Qiu, 2016, 2016 IEEE 25th Asian Test Symposium (ATS).

Huawei Li, Xiaowei Li, Tao Lv, 2009, 2009 27th IEEE VLSI Test Symposium.

Yu Hu, Xiaowei Li, Jing Ye, 2016, 2016 IEEE 25th Asian Test Symposium (ATS).

Huawei Li, Xiaowei Li, Yinghua Min, 2008, Journal of Computer Science and Technology.

Xiaowei Li, Hongsong Zhu, Yongjun Xu, 2011, IEEE Transactions on Industrial Electronics.

Huawei Li, Xiaowei Li, Yanhong Zhou, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Huawei Li, Xiaowei Li, Sen Li, 2015, 2015 IEEE 24th Asian Test Symposium (ATS).

Xiaowei Li, Min Li, Dongming Yang, 2019, 2019 12th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics (CISP-BMEI).

Yu Hu, Huawei Li, Xiaowei Li, 2005, IEICE Trans. Inf. Syst..

Jun Zhou, Huawei Li, Xiaowei Li, 2016, Integr..

Xiaowei Li, Jibing Qiu, Guihai Yan, 2014, 2014 IEEE 23rd Asian Test Symposium.

Xiaowei Li, Wei Lu, Tao Lv, 2005, Journal of Computer Science and Technology.

Yu Hu, Qiang Xu, Xiaowei Li, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Yu Hu, Xiaowei Li, Jing Ye, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Xiaowei Li, Minjin Zhang, 2007, 16th Asian Test Symposium (ATS 2007).

Huawei Li, Xiaowei Li, Yinghua Min, 2001, Proceedings 2001 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Xiaowei Li, Paul Y. S. Cheung, 1998, Proceedings Seventh Asian Test Symposium (ATS'98) (Cat. No.98TB100259).

Huawei Li, Xiaowei Li, Pei-Fu Shen, 2006, 24th IEEE VLSI Test Symposium.

Xiaowei Li, Yinhe Han, Cheng Liu, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Ying Zhang, Huawei Li, Xiaowei Li, 2008, 2008 17th Asian Test Symposium.

Xiaowei Li, Yinhe Han, Jiajun Li, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Jun Zhou, Xiaowei Li, Yongjun Xu, 2011, Intell. Autom. Soft Comput..

Huawei Li, Xiaowei Li, Yuntan Fang, 2012, 2012 IEEE 21st Asian Test Symposium.

Yu Hu, Xiaowei Li, Xing Hu, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jian Wang, Huawei Li, Xiaowei Li, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Xin He, Xiaowei Li, Yinhe Han, 2017, IEEE Transactions on Multi-Scale Computing Systems.

Yu Hu, Xiaowei Li, Bing Li, 2013, 2013 IEEE 19th Pacific Rim International Symposium on Dependable Computing.

Huawei Li, Xiaowei Li, Yinhe Han, 2010, 2010 IEEE 16th Pacific Rim International Symposium on Dependable Computing.

Yu Hu, Huawei Li, Xiaowei Li, 2008, 4th IEEE International Symposium on Electronic Design, Test and Applications (delta 2008).

Yu Hu, Xiaowei Li, Jing Ye, 2017, ACM J. Emerg. Technol. Comput. Syst..

Xiaowei Li, Tao Lv, Jianping Fan, 2003, 2003 Test Symposium.

Xin He, Xiaowei Li, Yinhe Han, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Ying Zhang, Huawei Li, Xiaowei Li, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yu Hu, Xiaowei Li, Jing Ye, 2011, 2011 Design, Automation & Test in Europe.

Yu Hu, Ying Zhang, Huawei Li, 2008, 26th IEEE VLSI Test Symposium (vts 2008).

Xiaowei Li, Lianshui Zhang, Xiaoyong Hu, 2001, International Symposium on Multispectral Image Processing and Pattern Recognition.

Xiaowei Li, Yinhe Han, Jianliang Gao, 2010, IEICE Trans. Inf. Syst..

Xiaowei Li, Yinhe Han, Jianliang Gao, 2011, 2011 Design, Automation & Test in Europe.

Xiaowei Li, Shangping Ren, Zheng Li, 2011, 2011 9th IEEE Symposium on Embedded Systems for Real-Time Multimedia.

Guangyan Huang, Yunzhan Gong, Xiaowei Li, 2005, 14th Asian Test Symposium (ATS'05).

Huawei Li, Xiaowei Li, Ying Wang, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Huawei Li, Xiaowei Li, Ying Wang, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Lei Zhang, Huawei Li, Xiaowei Li, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiaowei Li, Yu Yang, Yongjun Xu, 2011, IEEE Transactions on Industrial Electronics.

Yu Hu, Huawei Li, Xiaowei Li, 2004, 19th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2004. DFT 2004. Proceedings..

Huawei Li, Xiaowei Li, Yinhe Han, 2011, 2011 Design, Automation & Test in Europe.

Yu Hu, Xiaowei Li, Ke Wen, 2007 .

Yu Hu, Xiaowei Li, Jia Li, 2011, Science China Information Sciences.

Xiaowei Li, Qiong-Hua Wang, Lei Li, 2018, Journal of the Optical Society of America. A, Optics, image science, and vision.

Yu Hu, Xiaowei Li, Xinli Gu, 2012, 2012 IEEE 21st Asian Test Symposium.

Xiaowei Li, Paul Y. S. Cheung, 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).

Yu Huang, Yu Hu, Xiaowei Li, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiaowei Li, Guang Li, Xiao Hui Zhao, 2013 .

Xiaowei Li, Zuying Luo, Yongjun Xu, 2003, 2003 Test Symposium.

Yu Hu, Huawei Li, Xiaowei Li, 2018, 2018 Asian Hardware Oriented Security and Trust Symposium (AsianHOST).

Huawei Li, Xiaowei Li, Yuntan Fang, 2013, 2013 IEEE 31st VLSI Test Symposium (VTS).

Xiaowei Li, Yinhe Han, Ying Wang, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Ying Wang, Huawei Li, Xiaowei Li, 2018, 2018 IEEE International Test Conference (ITC).

Huawei Li, Xiaowei Li, Yinhe Han, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Songwei Pei, Huawei Li, Xiaowei Li, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiaowei Li, Yinhe Han, Guihai Yan, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Xiaowei Li, Ninghui Sun, Yinhe Han, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Wei Wang, Xiaowei Li, Yu Hu, 2007, Journal of Computer Science and Technology.

Yu Hu, Xiaowei Li, Songjun Pan, 2009, 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing.

Xiaowei Li, Hongyang Chen, Ping Deng, 2006, APWeb Workshops.

Yu Hu, Xiaowei Li, Hongjin Liu, 2011, 2011 Asian Test Symposium.

Yu Hu, Fei Wang, Xiaowei Li, 2008, 2008 IEEE International Test Conference.

Xiaowei Li, Xiaoyu Chen, Zhengwei Qu, 2018, 2018 IEEE International Instrumentation and Measurement Technology Conference (I2MTC).

Xiaowei Li, Paul Y. S. Cheung, P. Cheung, 2001, Journal of Computer Science and Technology.

Huawei Li, Xiaowei Li, Kwang-Ting Cheng, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiaowei Li, Jun Liu, Yinhe Han, 2011, 2011 Asian Test Symposium.

Yu Hu, Xiaowei Li, Jing Ye, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Xiaowei Li, Xin Zhao, Yinhe Han, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Huawei Li, Xiaowei Li, Yinhe Han, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yu Hu, Xiaowei Li, Yinhe Han, 2006, 2006 IEEE International Symposium on Circuits and Systems.

Songwei Pei, Huawei Li, Xiaowei Li, 2009, 2009 Asian Test Symposium.

Huawei Li, Xiaowei Li, Yibin Tang, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Xiaowei Li, Yinhe Han, Binzhang Fu, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Yu Hu, Huawei Li, Xiaowei Li, 2004, 13th Asian Test Symposium.

Huawei Li, Xiaowei Li, Yinhe Han, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Ying Zhang, Huawei Li, Xiaowei Li, 2010, 2010 19th IEEE Asian Test Symposium.

Yu Hu, Qiang Xu, Xiaowei Li, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Huawei Li, Xiaowei Li, Kwang-Ting Cheng, 2010, 2010 IEEE International Test Conference.

Yu Hu, Huawei Li, Xiaowei Li, 2004, 13th Asian Test Symposium.

Huawei Li, Xiaowei Li, Minjin Zhang, 2008, 4th IEEE International Symposium on Electronic Design, Test and Applications (delta 2008).

Yu Hu, Xiaowei Li, Jing Ye, 2015, IEEE Trans. Very Large Scale Integr. Syst..

Ying Zhang, Huawei Li, Xiaowei Li, 2009, Journal of Computer Science and Technology.

Xiaowei Li, Yinhe Han, Jianliang Gao, 2009, 2009 27th IEEE VLSI Test Symposium.

Xiaowei Li, Yinhe Han, Guihai Yan, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dongrui Fan, Xiaowei Li, Yinhe Han, 2005, 2005 6th International Conference on ASIC.

Xiaowei Li, Yinghua Min, Zhigang Yin, 2001, Proceedings 10th Asian Test Symposium.

Xiaowei Li, Quan Zhou, Yongjun Xu, 2007, 2007 International Conference on Wireless Communications, Networking and Mobile Computing.

Xiaowei Li, Yinhe Han, Ying Wang, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jun Zhou, Huawei Li, Xiaowei Li, 2015, 2015 IEEE 24th Asian Test Symposium (ATS).

Lei Zhang, Huawei Li, Xiaowei Li, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yu Hu, Xiaowei Li, Keheng Huang, 2011, 2011 Design, Automation & Test in Europe.

Huawei Li, Xiaowei Li, Yinhe Han, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Xiaowei Li, Yi Sun, Limin Sun, 2008, J. Signal Process. Syst..

Yu Hu, Xiaowei Li, Shuchang Shan, 2011, 2011 IEEE/IFIP 41st International Conference on Dependable Systems & Networks (DSN).

Huawei Li, Xiaowei Li, Bo Liu, 2017, 2017 IEEE 35th VLSI Test Symposium (VTS).

Yu Hu, Xiaowei Li, Keheng Huang, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiaowei Li, Shangping Ren, Zheng Li, 2012, 17th Asia and South Pacific Design Automation Conference.

Huawei Li, Xiaowei Li, Yinhe Han, 2009, 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing.

Jun Zhou, Huawei Li, Xiaowei Li, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Xiaowei Li, Guihai Yan, 2011, 2011 IEEE International Test Conference.

Yu Hu, Xiaowei Li, Jing Ye, 2010, 2010 19th IEEE Asian Test Symposium.

Yunzhan Gong, Xiaowei Li, Wanli Xu, 2003, 2003 Test Symposium.

Xiaowei Li, Zuying Luo, Shiyuan Yang, 2007, Science in China Series F: Information Sciences.

Xiaowei Li, Ming Shao, Guanghui Li, 2003, 2003 Test Symposium.

Xiaowei Li, Hongsong Zhu, Yongjun Xu, 2012, Wirel. Commun. Mob. Comput..

Yu Huang, Fei Wang, Huawei Li, 2008, 2008 IEEE International Test Conference.

Yu Hu, Xiaowei Li, Songjun Pan, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Yu Hu, Hui Liu, Huawei Li, 2007, 2007 IEEE International Test Conference.

Tao Lv, Xiaowei Li, Yongjun Xu, 2005, 2005 6th International Conference on ASIC.

Huawei Li, Xiaowei Li, Lei Zhang, 2007, HCI.

Lei Xie, Xiaowei Li, Yuefei Zhu, 2006, The Sixth IEEE International Conference on Computer and Information Technology (CIT'06).

Xiaowei Li, Jia Li, Yu Hu, 2006, 2006 15th Asian Test Symposium.

Huawei Li, Xiaowei Li, Yinhe Han, 2006, IEEE Transactions on Instrumentation and Measurement.

Huawei Li, Xiaowei Li, Yun Cheng, 2015, 2015 IEEE Computer Society Annual Symposium on VLSI.

Yu Hu, Xiaowei Li, Yinhe Han, 2005, 14th Asian Test Symposium (ATS'05).

Huawei Li, Xiaowei Li, Xuefeng Zhu, 2012, Proceedings of Technical Program of 2012 VLSI Design, Automation and Test.

Xiaowei Li, Yinhe Han, Lei Zhang, 2009, 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing.

Lei Zhang, Xiaowei Li, Yinhe Han, 2013, Journal of Computer Science and Technology.

Yu Hu, Fei Wang, Huawei Li, 2008, 2008 Asia and South Pacific Design Automation Conference.

Yu Hu, Xiaowei Li, Jing Ye, 2015, 2015 IEEE 21st International On-Line Testing Symposium (IOLTS).

Xin He, Xiaowei Li, Yinhe Han, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Xiaowei Li, Yongjun Xu, Chaonong Xu, 2005, 2005 6th International Conference on ASIC.

Xiaowei Li, Kevin A. Kwiat, Shangping Ren, 2010, SAC '10.

Xiaowei Li, Yinhe Han, Ying Wang, 2015, The 20th Asia and South Pacific Design Automation Conference.

Yu Hu, Xiaowei Li, Hongjin Liu, 2013, 2013 IEEE 19th International On-Line Testing Symposium (IOLTS).

Xiaowei Li, Lei Zhao, Yongjun Xu, 2007, 2007 International Conference on Wireless Communications, Networking and Mobile Computing.

Huawei Li, Xiaowei Li, Yuntan Fang, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Huawei Li, Xiaowei Li, Zijian He, 2010, 2010 IEEE International Test Conference.

Xiaowei Li, Paul Y. S. Cheung, 2008, Journal of Computer Science and Technology.

Huawei Li, Xiaowei Li, Huawei Li, 2005, J. Electron. Test..

Ying Zhang, Huawei Li, Xiaowei Li, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yu Hu, Huawei Li, Xiaowei Li, 2005, 11th Pacific Rim International Symposium on Dependable Computing (PRDC'05).

Xiaowei Li, 2004, IEEE Design & Test of Computers.

Huawei Li, Xiaowei Li, Yanhong Zhou, 2016, 2016 IEEE 34th VLSI Test Symposium (VTS).

Xiaowei Li, Zuying Luo, Yongjun Xu, 2005, ASP-DAC.

Xin He, Xiaowei Li, Yinhe Han, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Xiaowei Li, Hideo Fujiwara, Paul Y. S. Cheung, 2000, J. Electron. Test..

Xiaowei Li, Yongjun Xu, Jianliang Gao, 2007, 2007 IEEE International Conference on Integration Technology.

Huawei Li, Xiaowei Li, Bo Liu, 2016, 2016 IEEE International Test Conference (ITC).

Jun Zhou, Huawei Li, Xiaowei Li, 2015, 2015 IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS XVIII).

Xiaowei Li, Zuying Luo, Yongjun Xu, 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

Jian Wang, Huawei Li, Xiaowei Li, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jing He, Guangyan Huang, Xin Li, 2007, Int. J. Inf. Technol. Decis. Mak..

Xiaowei Li, Yu Yang, Yongjun Xu, 2009, 2009 IEEE Wireless Communications and Networking Conference.

Huawei Li, Xiaowei Li, Yun Cheng, 2014, 2014 IEEE 23rd Asian Test Symposium.

Yu Hu, Huawei Li, Xiaowei Li, 2008, 2008 Asia and South Pacific Design Automation Conference.

Jing He, Guangyan Huang, Xiaowei Li, 2006, International Conference on Computational Science.

Xiaowei Li, Yinhe Han, Lei Zhang, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yu Hu, Xiaowei Li, Bing Li, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yu Hu, Fei Wang, Xiaowei Li, 2008, 2008 17th Asian Test Symposium.

Huawei Li, Xiaowei Li, Yinhe Han, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Tong Liu, Huawei Li, Xiaowei Li, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Qiang Xu, Huawei Li, Xiaowei Li, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Guangyan Huang, Xiaowei Li, Jing He, 2006, 2006 IEEE Aerospace Conference.

Xiaowei Li, Cheng Liu, Yinhe Han, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Minyi Guo, Xiaowei Li, Yinhe Han, 2012, IEEE International Symposium on High-Performance Comp Architecture.

Huawei Li, Xiaowei Li, Zuying Luo, 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

Tao Lv, Xiaowei Li, Yongjun Xu, 2005, Proceedings. 2005 International Conference on Wireless Communications, Networking and Mobile Computing, 2005..

Yu Hu, Huawei Li, Xiaowei Li, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yu Hu, Xiaowei Li, Xing Hu, 2011, 2011 Design, Automation & Test in Europe.

Yu Hu, Xiaowei Li, Hongjin Liu, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tong Liu, Huawei Li, Xiaowei Li, 2006, IEEE Globecom 2006.

Huawei Li, Xiaowei Li, Zijian He, 2010, 2010 28th VLSI Test Symposium (VTS).

Yu Hu, Xiaowei Li, Xing Hu, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yu Hu, Xiaowei Li, Yang Zhao, 2009 .

Xiaowei Li, Chen Rui, Han Congying, 2005, 14th Asian Test Symposium (ATS'05).

Xiaowei Li, Jun Ma, Yinhe Han, 2016, IEEE Transactions on Parallel and Distributed Systems.

Yu Hu, Huawei Li, Xiaowei Li, 2005, Sixth international symposium on quality electronic design (isqed'05).

Huawei Li, Xiaowei Li, Xiang Fu, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiaowei Li, Jun Liu, Yinhe Han, 2009, 2009 Asian Test Symposium.

Xiaowei Li, Zuying Luo, Xianlong Hong, 2008, Journal of Computer Science and Technology.

Jie Wu, Yang Liu, Yu Hu, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Huawei Li, Xiaowei Li, Yinhe Han, 2005, Journal of Computer Science and Technology.

Huawei Li, Xiaowei Li, Song Jin, 2009, 2009 Asian Test Symposium.

Xiaowei Li, Yinhe Han, Ying Wang, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jian Wang, Huawei Li, Xiaowei Li, 2014, Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test.

Yu Hu, Qiang Xu, Xiaowei Li, 2008, 2008 Asia and South Pacific Design Automation Conference.

Lei Zhang, Xiaowei Li, Cheng Liu, 2013, Journal of Computer Science and Technology.

Yu Hu, Qiang Xu, Xiaowei Li, 2008, 4th IEEE International Symposium on Electronic Design, Test and Applications (delta 2008).

Huawei Li, Xiaowei Li, Song Jin, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiaowei Li, Yu Yang, Yongjun Xu, 2010, Wirel. Sens. Netw..

Jie Wang, Huawei Li, Xiaowei Li, 2009, 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing.

Huawei Li, Xiaowei Li, Yinhe Han, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Xiaowei Li, Yinhe Han, Ying Wang, 2015, IEICE Electron. Express.

Xiaowei Li, Guanghui Li, 2004, 13th Asian Test Symposium.

Songwei Pei, Huawei Li, Xiaowei Li, 2009, 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing.

Xiaowei Li, Yongjun Xu, Jianliang Gao, 2007 .

Huawei Li, Xiaowei Li, Yinhe Han, 2005, 2005 IEEE Instrumentationand Measurement Technology Conference Proceedings.

Huawei Li, Xiaowei Li, Xiang Fu, 2010, 2010 19th IEEE Asian Test Symposium.

Huawei Li, Xiaowei Li, Jun Ma, 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

Xiaowei Li, Lei Zhao, Hongsong Zhu, 2007, 2007 3rd International Conference on Intelligent Sensors, Sensor Networks and Information.

Xiaowei Li, Toshimitsu Masuzawa, Hideo Fujiwara, 2000, Proceedings of the Ninth Asian Test Symposium.

Xiaowei Li, Yinhe Han, Ying Wang, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Hu, Xiaowei Li, Jing Ye, 2013, 2013 IEEE International Test Conference (ITC).

Jie Xu, Huawei Li, Xiaowei Li, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Guangyan Huang, Xiaowei Li, Jing He, 2006, 2006 1ST IEEE Conference on Industrial Electronics and Applications.

Huawei Li, Xiaowei Li, David Novo, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Xiaowei Li, Yinhe Han, Guihai Yan, 2011, IEEE Transactions on Computers.

Xiaowei Li, Jun Ma, Yinhe Han, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).