J.M. Rabaey

发表

N.M. Pletcher, J.M. Rabaey, 2005, Proceedings of the 31st European Solid-State Circuits Conference, 2005. ESSCIRC 2005..

B.P. Otis, Y.H. Chee, N.M. Pletcher, 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).

S.R. Sanders, J.M. Rabaey, M.D. Seeman, 2008, 2008 IEEE Power Electronics Specialists Conference.

J.M. Rabaey, 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

Yanmei Li, Chang-Ching Wu, A. Sangiovanni-Vincentelli, 2008, 2008 4th IEEE International Conference on Circuits and Systems for Communications.

B. Otis, T. Tuan, J. Ammer, 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).