Ruifeng Guo

发表

Irith Pomeranz, Xiaoming Yu, Enamul Amyeen, 2003, Proceedings. 21st VLSI Test Symposium, 2003..

Ruifeng Guo, Liming Duan, Fenghai Wang, 2017, 2017 IEEE 19th International Conference on e-Health Networking, Applications and Services (Healthcom).

Ruifeng Guo, Wu-Tung Cheng, Yu Huang, 2007, 16th Asian Test Symposium (ATS 2007).

Wu-Tung Cheng, Ruifeng Guo, Kun-Han Tsai, 2009, 2009 14th IEEE European Test Symposium.

Wu-Tung Cheng, Chien-Mo James Li, Ruifeng Guo, 2008, IEEE Design & Test of Computers.

Wu-Tung Cheng, Ruifeng Guo, Yu Huang, 2008, 2008 13th European Test Symposium.

Srikanth Venkataraman, Ruifeng Guo, Subhasish Mitra, 2005, IEEE International Conference on Test, 2005..

Ruifeng Guo, Kun-Han Tsai, Wu-Tung Cheng, 2008, 2008 17th Asian Test Symposium.

Ruifeng Guo, Hongliang Wang, Changyi Deng, 2016, 2016 IEEE Trustcom/BigDataSE/ISPA.

Weimin Lei, Wei Zhang, Xiuwu Zhang, 2010, Int. J. Commun. Netw. Syst. Sci..

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Jing Wang, Jing Zeng, Wu-Tung Cheng, 2012, IEEE Design & Test of Computers.

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 1998, Proceedings Seventh Asian Test Symposium (ATS'98) (Cat. No.98TB100259).

Sudhakar M. Reddy, Wu-Tung Cheng, Ruifeng Guo, 2010, 2010 19th IEEE Asian Test Symposium.

Hu Lin, Dong Yu, Ruifeng Guo, 2005, IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.

Wu-Tung Cheng, Ruifeng Guo, Kun-Han Tsai, 2008, 2008 17th Asian Test Symposium.

Jie Li, Jiping Li, Ruifeng Guo, 2011, Proceedings of 2011 International Conference on Electronic & Mechanical Engineering and Information Technology.

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.

Enamul Amyeen, Srikanth Venkataraman, Ruifeng Guo, 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

Ruifeng Guo, Kun-Han Tsai, Wu-Tung Cheng, 2010, Proceedings of 2010 International Symposium on VLSI Design, Automation and Test.

Mark Mohammad Tehranipoor, Wu-Tung Cheng, Ruifeng Guo, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Wu-Tung Cheng, Ruifeng Guo, Kun-Han Tsai, 2009, 2009 Asian Test Symposium.

Ruifeng Guo, Xiaolei Cai, Brian Archer, 2018, 2018 IEEE International Test Conference in Asia (ITC-Asia).

Sudhakar M. Reddy, Wu-Tung Cheng, Xun Tang, 2011, 2011 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems.

Jinkyu Lee, Enamul Amyeen, Srikanth Venkataraman, 2006, 24th IEEE VLSI Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

Yu Hu, Xiaowei Li, Jing Ye, 2015, IEEE Trans. Very Large Scale Integr. Syst..

Ruifeng Guo, Wanfu Ding, 2009, 2009 International Conference on Computational Science and Engineering.

Wu-Tung Cheng, Ruifeng Guo, Yu Huang, 2007, 2007 IEEE International Test Conference.

Wu-Tung Cheng, Ruifeng Guo, Liyang Lai, 2008, 2008 IEEE International Test Conference.

Wu-Tung Cheng, Ruifeng Guo, Yu Huang, 2009, 2009 Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Wu-Tung Cheng, Jiun-Lang Huang, Manish Sharma, 2013, 2013 International Symposium onVLSI Design, Automation, and Test (VLSI-DAT).

Ray Y. Zhong, Ruifeng Guo, Liming Duan, 2018, 2018 IEEE 15th International Conference on Networking, Sensing and Control (ICNSC).

Han Zhang, Yiwen Zhang, Ruifeng Guo, 2013, 2013 Ninth International Conference on Natural Computation (ICNC).

Wu-Tung Cheng, Ruifeng Guo, Yu Huang, 2007, 2007 IEEE International Test Conference.

Srikanth Venkataraman, Ruifeng Guo, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ruifeng Guo, Wu-Tung Cheng, Yu Huang, 2008, 2008 IEEE International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Srikanth Venkataraman, Ruifeng Guo, S. Venkataraman, 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

Sudhakar M. Reddy, Wu-Tung Cheng, Xun Tang, 2009, 2009 Asian Test Symposium.

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Ruifeng Guo, Wanfu Ding, 2009, 2009 15th IEEE Pacific Rim International Symposium on Dependable Computing.

Xian Liu, Ruifeng Guo, Hongliang Wang, 2012, 2012 IEEE 12th International Conference on Computer and Information Technology.

Ruifeng Guo, Wanfu Ding, 2008, 2008 The 9th International Conference for Young Computer Scientists.

Sudhakar M. Reddy, Wu-Tung Cheng, Xun Tang, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Irith Pomeranz, Sudhakar M. Reddy, Ruifeng Guo, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Yu Hu, Xiaowei Li, Jing Ye, 2013, 2013 IEEE International Test Conference (ITC).

Michael S. Hsiao, Kai Yang, Vishnu C. Vimjam, 2007, 25th IEEE VLSI Test Symposium (VTS'07).