Amith Singhee

发表

Rob A. Rutenbar, Amith Singhee, Sonia Singhal, 2008, ICCAD 2008.

Rob A. Rutenbar, Amith Singhee, James D. Ma, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Rob A. Rutenbar, Amith Singhee, Sonia Singhal, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Amith Singhee, Aditya Bansal, Greg Costrini, 2011, 2011 Symposium on VLSI Circuits - Digest of Technical Papers.

Amith Singhee, Vikas Chandra, Saurabh K. Tiwary, 2009, 2009 22nd International Conference on VLSI Design.

Emrah Acar, Amith Singhee, Rama N. Singh, 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

Rob A. Rutenbar, L. Richard Carley, Hongzhou Liu, 2002, DAC '02.

H. Wang, Z. Li, Amith Singhee, 2016, IBM J. Res. Dev..

Amith Singhee, Haijing Wang, Amith Singhee, 2017, 2017 IEEE Power & Energy Society General Meeting.

Rob A. Rutenbar, Amith Singhee, Amith Singhee, 2009, Lecture Notes in Electrical Engineering.

Rob A. Rutenbar, Amith Singhee, Amith Singhee, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Vijay Arya, Heena Bansal, Megha Nawhal, 2016, 2016 IEEE PES Innovative Smart Grid Technologies Conference Europe (ISGT-Europe).

Rob A. Rutenbar, Amith Singhee, Sonia Singhal, 2008, 2008 Design, Automation and Test in Europe.

Rob A. Rutenbar, Jiajing Wang, Benton H. Calhoun, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Rob A. Rutenbar, Robert C. Aitken, Amith Singhee, 2010 .

Rob A. Rutenbar, Amith Singhee, R. Rutenbar, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Rob A. Rutenbar, Amith Singhee, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Rouwaida Kanj, Fook-Luen Heng, Jin-Fuw Lee, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Kaushik Das, Amith Singhee, Deva P. Seetharam, 2011, HiPCNA-PG '11.

Rob A. Rutenbar, Amith Singhee, James D. Ma, 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Rob A. Rutenbar, Amith Singhee, Amith Singhee, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Rob A. Rutenbar, Arun Kumar, Benton H. Calhoun, 2008 .

Amith Singhee, Pamela Castalino, Amith Singhee, 2010, Design Automation Conference.

Rob A. Rutenbar, Amith Singhee, 2009 .

Rob A. Rutenbar, Amith Singhee, R. Rutenbar, 2010 .

Rob A. Rutenbar, Amith Singhee, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Ashok Pon Kumar, Satyam Dwivedi, Amith Singhee, 2018, 2018 IEEE International Conference on Edge Computing (EDGE).

Rob A. Rutenbar, Jiajing Wang, Benton H. Calhoun, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jinjun Xiong, Wangyang Zhang, Amith Singhee, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Amith Singhee, Amith Singhee, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Fook-Luen Heng, Amith Singhee, Mark A. Lavin, 2016, IBM J. Res. Dev..

Anamitra R. Choudhury, Yogish Sabharwal, Venkatesan T. Chakaravarthy, 2021, 2021 IEEE 14th International Conference on Cloud Computing (CLOUD).

Amith Singhee, Alex Mathai, Saravanan Krishnan, 2022, COMAD/CODS.

Amith Singhee, Pablo Loyola, Mudit Verma, 2021, 2021 IEEE 14th International Conference on Cloud Computing (CLOUD).

Amith Singhee, Giriprasad Sridhara, Srikanth Tamilselvam, 2021, 2021 IEEE International Conference on Web Services (ICWS).

Valerio Lucarini, Amith Singhee, Sarah C. Jones, 2020, Bulletin of the American Meteorological Society.