Kwang-Ting Cheng

发表

Xin Yang, Kwang-Ting Cheng, Aichi Chien, 2014, 2014 22nd International Conference on Pattern Recognition.

Kwang-Ting Cheng, Feng Lu, 2005, Tenth IEEE International High-Level Design Validation and Test Workshop, 2005..

Kwang-Ting Cheng, Leilai Shao, Ting Lei, 2019, IEEE Design & Test.

Kwang-Ting Cheng, Yung-Chieh Lin, 2006, 2006 IEEE International Test Conference.

Kwang-Ting Cheng, Dongwoo Hong, Chee-Kian Ong, 2004, 2004 International Conferce on Test.

Kwang-Ting Cheng, Huan-Chih Tsai, Sudipta Bhawmik, 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

Kwang-Ting Cheng, Fan Lin, Chun-Kai Hsu, 2015, 2015 IEEE International Test Conference (ITC).

Yang Gao, Xin Yang, Kwang-Ting Cheng, 2019, IEEE Transactions on Multimedia.

Melvin A. Breuer, Kwang-Ting Cheng, 2000, Asian Test Symposium.

Kwang-Ting Cheng, Kai Yang, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Kwang-Ting Cheng, Ke Li, Yun Pan, 2015, 2015 Seventh International Conference on Advanced Computational Intelligence (ICACI).

Xin Yang, Kwang-Ting Cheng, Zengqiang Yan, 2018, MICCAI.

Kwang-Ting Cheng, Chih-Jen Lin, K. Cheng, 1995, Proceedings of 1995 IEEE International Test Conference (ITC).

Kwang-Ting Cheng, Madhavan Swaminathan, Leilai Shao, 2019, 2019 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Sujit Dey, Li Chen, Kwang-Ting Cheng, 2002, IEEE Des. Test Comput..

Edward Y. Chang, Kwang-Ting Cheng, Wei-Cheng Lai, 2002, MULTIMEDIA '02.

Kwang-Ting Cheng, H.-K.T. Ma, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

Kwang-Ting Cheng, Forrest Brewer, Ganapathy Parthasarathy, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Kwang-Ting Cheng, A. S. Krishnakumar, K. Cheng, 1996, TODE.

Kwang-Ting Cheng, Li-C. Wang, Charles H.-P. Wen, 2005, Tenth IEEE International High-Level Design Validation and Test Workshop, 2005..

Hong Wang, Kwang-Ting Cheng, Fan Lin, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kwang-Ting Cheng, Srimat T. Chakradhar, Angela Krstic, 1996, Proceedings International Test Conference 1996. Test and Design Validity.

Vishwani D. Agrawal, Kwang-Ting Cheng, 1989, [1989] The Nineteenth International Symposium on Fault-Tolerant Computing. Digest of Papers.

Kwang-Ting Cheng, Chen-Yang Pan, Sandeep K. Gupta, 1994, IEEE/ACM International Conference on Computer-Aided Design.

Kwang-Ting Cheng, Saeed Shamshiri, K. Cheng, 2011, IEEE Transactions on Computers.

Kwang-Ting Cheng, Jiun-Lang Huang, 2011, IEEE Des. Test Comput..

Kwang-Ting Cheng, Kuan-Yu Lin, Hsiu-Ming Chang, 2010, J. Electron. Test..

Kwang-Ting Cheng, Nicole Fern, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kwang-Ting Cheng, Nicole Lesperance, Shrikant Kulkarni, 2015, The 20th Asia and South Pacific Design Automation Conference.

Kwang-Ting Cheng, Yi-Min Jiang, K. Cheng, 2001, IEEE Trans. Very Large Scale Integr. Syst..

Kwang-Ting Cheng, Rui Wu, John E. Bowers, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Kwang-Ting Cheng, Takao Someya, Yung-Hui Yeh, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Kwang-Ting Cheng, 2007, IEEE Des. Test Comput..

Kwang-Ting Cheng, Dongwoo Hong, 2008, APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems.

Xin Yang, Kwang-Ting Cheng, Zhenyu Yang, 2019, 2019 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Kwang-Ting Cheng, Frank Yeong-Sung Lin, K. Cheng, 1995, Proceedings of GLOBECOM '95.

Kwang-Ting Cheng, Hi-Keung Tony Ma, 1992, DAC '92.

Mei-Chen Yeh, Kwang-Ting Cheng, 2011, IEEE Transactions on Multimedia.

Kwang-Ting Cheng, A. Krstic, S.T. Chakradhar, 1996, Proceedings EURO-DAC '96. European Design Automation Conference with EURO-VHDL '96 and Exhibition.

Kwang-Ting Cheng, T. M. Mak, Kaushik Roy, 2003 .

Kwang-Ting Cheng, Chee-Kian Ong, Li-C. Wang, 2004, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kwang-Ting Cheng, Angela Krstic, 1998 .

Xin Yang, Kwang-Ting Cheng, Qiang Zhu, 2009, LS-MMRM '09.

Kwang-Ting Cheng, Sandip Kundu, Angela Krstic, 2001, DAC '01.

Kwang-Ting Cheng, Dongwoo Hong, Chee-Kian Ong, 2008, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kwang-Ting Cheng, Dongwoo Hong, 2010 .

Kwang-Ting Cheng, Ting Lei, Leilai Shao, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Luca Benini, Kwang-Ting Cheng, Rajesh K. Gupta, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, 1996, DAC '96.

Kurt Keutzer, Kwang-Ting Cheng, Srinivas Devadas, 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, Jiun-Lang Huang, Jan Arild Tofte, 2000, Proceedings 18th IEEE VLSI Test Symposium.

Kwang-Ting Cheng, Peter Lisherness, 2010, Design Automation Conference.

Kwang-Ting Cheng, Srimat T. Chakradhar, Angela Krstic, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Kwang-Ting Cheng, Srimat T. Chakradhar, Angela Krstic, 1997, Proceedings International Test Conference 1997.

Kwang-Ting Cheng, Ying-Tsai Chang, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kwang-Ting Cheng, Ting Lei, Leilai Shao, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kwang-Ting Cheng, Srimat T. Chakradhar, Wenlong Wei, 2006 .

M. Ray Mercer, Rohit Kapur, Thomas W. Williams, 2002, DAC '02.

Kwang-Ting Cheng, Shai Avidan, Qiang Zhu, 2005, Tenth IEEE International Conference on Computer Vision (ICCV'05) Volume 1.

Kwang-Ting Cheng, Sung-Jui Pan, K. Cheng, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Kwang-Ting Cheng, Huan-Chih Tsai, Sudipta Bhawmik, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, Ming Gao, K. Cheng, 2009, 2009 Asian Test Symposium.

Kwang-Ting Cheng, Yun Pan, Rui Wu, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Kwang-Ting Cheng, Magdy S. Abadir, Angela Krstic, 2003, DATE.

Mei-Chen Yeh, Kwang-Ting Cheng, 2009 .

Kwang-Ting Cheng, Tao Feng, Magdy S. Abadir, 2003, Des. Autom. Embed. Syst..

Xin Yang, Kwang-Ting Cheng, Wenyu Liu, 2016, Medical Image Anal..

Kwang-Ting Cheng, Kai Yang, Feng Lu, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Kwang-Ting Cheng, Kunihiro Asada, Satoshi Komatsu, 2009, 2009 14th IEEE European Test Symposium.

Shi-Yu Huang, Kwang-Ting Cheng, 1998 .

Kwang-Ting Cheng, Magdy S. Abadir, Angela Krstic, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Kwang-Ting Cheng, Tsung-Ching Huang, Raymond G. Beausoleil, 2016, 2016 Tenth IEEE/ACM International Symposium on Networks-on-Chip (NOCS).

Kwang-Ting Cheng, K. Cheng, 1996, TODE.

Kwang-Ting Cheng, Feng Lu, Yung-Chieh Lin, 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

Kwang-Ting Cheng, Dongwoo Hong, S. Saberi, 2007 .

Kwang-Ting Cheng, Li-C. Wang, Charles H.-P. Wen, 2006, IEEE Transactions on Computers.

Kwang-Ting Cheng, Nicole Fern, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kwang-Ting Cheng, Li-C. Wang, Ganapathy Parthasarathy, 2004, IEEE Design & Test of Computers.

Shi-Yu Huang, Kwang-Ting Cheng, Deborah C. Wang, 1998, Proceedings of 1998 Asia and South Pacific Design Automation Conference.

Kwang-Ting Cheng, Chih-Jen Lin, Huan-Chih Tsai, 1998, IEEE Trans. Very Large Scale Integr. Syst..

Vishwani D. Agrawal, Kwang-Ting Cheng, K. Cheng, 1992, IEEE Trans. Computers.

Kwang-Ting Cheng, 2006, IEEE Des. Test Comput..

Kwang-Ting Cheng, Jing-Reng Huang, Madhu K. Iyer, 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

Kwang-Ting Cheng, Tsung-Ching Huang, Huai-Yuan Tseng, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Vishwani D. Agrawal, Kwang-Ting Cheng, 1990, Proceedings of the European Design Automation Conference, 1990., EDAC..

Kwang-Ting Cheng, Wei-Cheng Lai, Angela Krstic, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Kwang-Ting Cheng, 1992, Digest of Papers. 1992 IEEE VLSI Test Symposium.

Kwang-Ting Cheng, Jiun-Lang Huang, 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

Shi-Yu Huang, Kwang-Ting Cheng, 1998 .

Kwang-Ting Cheng, Nicole Fern, 2018, 2018 IEEE International Test Conference (ITC).

Kwang-Ting Cheng, Dongwoo Hong, Chee-Kian Ong, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Kwang-Ting Cheng, Li-C. Wang, Jing-Jia Liou, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kwang-Ting Cheng, Frank Yeong-Sung Lin, 1993, Proceedings of GLOBECOM '93. IEEE Global Telecommunications Conference.

Ying Wu, Kwang-Ting Cheng, Qiang Zhu, 2016 .

Kwang-Ting Cheng, Jiun-Lang Huang, Chee-Kian Ong, 2000, DATE '00.

Kwang-Ting Cheng, Jing-Yang Jou, 1995 .

Shi-Yu Huang, Kwang-Ting Cheng, Tien-Chien Lee, 1996, DAC '96.

Kwang-Ting Cheng, Raymond Beausoleil, Leilai Shao, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Kwang-Ting Cheng, Dongwoo Hong, 2010, Lecture Notes in Electrical Engineering.

Kwang-Ting Cheng, Srimat T. Chakradhar, Mango Chia-Tso Chao, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Kwang-Ting Cheng, Tao Feng, Magdy S. Abadir, 2003, ASP-DAC '03.

Jimmy Hsu, Kwang-Ting Cheng, Mitchell Lin, 2005, IEEE International Conference on Test, 2005..

Kwang-Ting Cheng, Chee-Kian Ong, Li-C. Wang, 2003, ASP-DAC '03.

Kwang-Ting Cheng, Sandeep Gupta, Chen-Yang Pan, 1994, ICCAD.

Kwang-Ting Cheng, 2006, IEEE Des. Test Comput..

Kurt Keutzer, Kwang-Ting Cheng, Srinivas Devadas, 1991, 28th ACM/IEEE Design Automation Conference.

Kwang-Ting Cheng, Kewal K. Saluja, Hans-Joachim Wunderlich, 1997, Journal of electronic testing.

Kwang-Ting Cheng, Hisashi Kondo, 1996, Proceedings of International Conference on Computer Aided Design.

Xin Yang, Kwang-Ting Cheng, Chong Huang, 2016, 2016 IEEE Winter Conference on Applications of Computer Vision (WACV).

Kwang-Ting Cheng, Kunihiro Asada, Satoshi Komatsu, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Kwang-Ting Cheng, Dongwoo Hong, Chee-Kian Ong, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Rob A. Rutenbar, Kwang-Ting Cheng, Sandip Kundu, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Kwang-Ting Cheng, Feng Lu, Li-C. Wang, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Kwang-Ting Cheng, Saeed Shamshiri, 2010, 2010 28th VLSI Test Symposium (VTS).

Vishwani D. Agrawal, Kwang-Ting Cheng, 1991, AT&T Technical Journal.

Kwang-Ting Cheng, Feng Lu, Li-C. Wang, 2004, J. Univers. Comput. Sci..

Kwang-Ting Cheng, Yi-Min Jiang, 1998, Proceedings Design, Automation and Test in Europe.

Shi-Yu Huang, Kwang-Ting Cheng, Wei-Jin Dai, 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Kwang-Ting Cheng, Peter Lisherness, 2011, 2011 IEEE International High Level Design Validation and Test Workshop.

Kwang-Ting Cheng, Miguel Angel Lastras-Montaño, Leilai Shao, 2019, 2019 IEEE 32nd International Conference on Microelectronic Test Structures (ICMTS).

Kwang-Ting Cheng, Jinian Bian, Shujun Deng, 2010, 2010 IEEE International Test Conference.

Vishwani D. Agrawal, Kwang-Ting Cheng, K. Cheng, 1988 .

Kwang-Ting Cheng, Luis Entrena, 1993, ICCAD.

Kwang-Ting Cheng, Angela Krstic, Li-C. Wang, 2004, IEEE Design & Test of Computers.

Kwang-Ting Cheng, Hisashi Kondo, 1996, Proceedings of the Fifth Asian Test Symposium (ATS'96).

Kwang-Ting Cheng, Angela Krstic, Jing-Jia Liou, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, Angela Krstic, Jing-Jia Liou, 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

Mei-Chen Yeh, Kwang-Ting Cheng, Mei-Chen Yeh, 2009, CIVR '09.

Kwang-Ting Cheng, Wei-Cheng Lai, Jing-Reng Huang, 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

Kwang-Ting Cheng, Hsi-Chuan Chen, 1993, Proceedings of IEEE International Test Conference - (ITC).

Kwang-Ting Cheng, Mitchell Lin, 2006, 2006 IEEE International Test Conference.

Edward Y. Chang, Kwang-Ting Cheng, Lihyuarn L. Chang, 2001, SIGMOD '01.

Kwang-Ting Cheng, Srimat T. Chakradhar, Mango Chia-Tso Chao, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Kwang-Ting Cheng, Angela Krstic, Yi-Min Jiang, 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

Kenneth M. Butler, Kwang-Ting Cheng, Li-C. Wang, 2003, IEEE Des. Test Comput..

Kwang-Ting Cheng, Peter Lisherness, 2009, 2009 IEEE International High Level Design Validation and Test Workshop.

Kwang-Ting Cheng, Li-C. Wang, Ganapathy Parthasarathy, 2004, Proceedings. 41st Design Automation Conference, 2004..

Kaushik Roy, Kwang-Ting Cheng, T. M. Mak, 2006, IEEE Design & Test of Computers.

Shi-Yu Huang, Kwang-Ting Cheng, 1998 .

Kwang-Ting Cheng, Angela Krstic, Li-C. Wang, 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

Vishwani D. Agrawal, Kwang-Ting Cheng, 2000, Asian Test Symposium.

Kwang-Ting Cheng, K. Cheng, 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

M. Ray Mercer, Thomas W. Williams, Kwang-Ting Cheng, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Kwang-Ting Cheng, Angela Krstic, 1998 .

Kwang-Ting Cheng, Wei-Cheng Lai, Angela Krstic, 2000, Proceedings 18th IEEE VLSI Test Symposium.

Kwang-Ting Cheng, Dongwoo Hong, 2006, 2006 IEEE International Test Conference.

Kwang-Ting Cheng, Yao-Wen Chang, Laung-Terng Wang, 2009 .

Kwang-Ting Cheng, Li-C. Wang, Mango Chia-Tso Chao, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Sujit Dey, Li Chen, Kwang-Ting Cheng, 2002, DAC '02.

Zhiwei Wang, Kwang-Ting Cheng, Xin Yang, 2020, IEEE Journal of Biomedical and Health Informatics.

Kwang-Ting Cheng, Chen-Yang Pan, K. Cheng, 1997, Proceedings International Test Conference 1997.

Kwang-Ting Cheng, Chen-Yang Pan, 1996, Proceedings of 14th VLSI Test Symposium.

Shi-Yu Huang, Kwang-Ting Cheng, K. Cheng, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, Ganapathy Parthasarathy, Chung-Yang Huang, 2001, Sixth IEEE International High-Level Design Validation and Test Workshop.

Sudhakar M. Reddy, Kwang-Ting Cheng, Uwe Sparmann, 1995, 32nd Design Automation Conference.

Irith Pomeranz, Kwang-Ting Cheng, 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, 1992, Proceedings International Test Conference 1992.

Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, 2001, TODE.

Prathima Agrawal, Vishwani D. Agrawal, Kwang-Ting Cheng, 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, Tao Feng, Li-C. Wang, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Xin Yang, Kwang-Ting Cheng, K. Cheng, 2014, IEEE Transactions on Visualization and Computer Graphics.

Kwang-Ting Cheng, Zhenyu Yang, Xin Yang, 2018, 2018 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS).

Kwang-Ting Cheng, Angela Krstic, Li-C. Wang, 2003, ASP-DAC '03.

Kwang-Ting Cheng, Angela Krstic, 1996, Proceedings ED&TC European Design and Test Conference.

Kwang-Ting Cheng, Jing-Jia Liou, Ming Gao, 2012, 17th Asia and South Pacific Design Automation Conference.

Kwang-Ting Cheng, Angela Krstic, 1998 .

Kwang-Ting Cheng, Feng Lu, Yung-Chieh Lin, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kwang-Ting Cheng, Marco Fiorentino, Raymond G. Beausoleil, 2019, ASP-DAC.

Kwang-Ting Cheng, Angela Krstic, Li-C. Wang, 2003, Proceedings. 21st VLSI Test Symposium, 2003..

Kwang-Ting Cheng, Madhu K. Iyer, K. Cheng, 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

Kwang-Ting Cheng, Fan Lin, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Kwang-Ting Cheng, Ting Lei, Leilai Shao, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Kwang-Ting Cheng, Li-C. Wang, Ji-Jan Chen, 2005, IEEE International Conference on Test, 2005..

Kwang-Ting Cheng, Kai Yang, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Huawei Li, Xiaowei Li, Kwang-Ting Cheng, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kwang-Ting Cheng, Saeed Shamshiri, 2009, 2009 27th IEEE VLSI Test Symposium.

Vishwani D. Agrawal, Kwang-Ting Cheng, Ernest S. Kuh, 1988, [1988] The Eighteenth International Symposium on Fault-Tolerant Computing. Digest of Papers.

Xin Yang, Kwang-Ting Cheng, 2012, ACM Multimedia.

Mei-Chen Yeh, Kwang-Ting Cheng, 2009, MM '09.

Kwang-Ting Cheng, Li-C. Wang, T. M. Mak, 2004, ICCAD 2004.

Kwang-Ting Cheng, Li-C. Wang, Jing-Jia Liou, 2002, ICCAD 2002.

Kwang-Ting Cheng, Jiun-Lang Huang, Cheng-Wen Wu, 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

Kwang-Ting Cheng, 2006, IEEE Des. Test Comput..

Kwang-Ting Cheng, Dongwoo Hong, 2010 .

Shi-Yu Huang, Kwang-Ting Cheng, 1998 .

Kwang-Ting Cheng, Kai Yang, Li-C. Wang, 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

Kwang-Ting Cheng, Fan Lin, Chun-Kai Hsu, 2014, 2014 IEEE 23rd Asian Test Symposium.

Kwang-Ting Cheng, Dongwoo Hong, C. Patrick Yue, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Kwang-Ting Cheng, Anjur S Krishnakumar, サンダレサン クリシュナクマー アンジュア, 1995 .

Kwang-Ting Cheng, Yan Zheng, Shiyuan Yang, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Huawei Li, Xiaowei Li, Kwang-Ting Cheng, 2010, 2010 IEEE International Test Conference.

Kwang-Ting Cheng, Jing-Yang Jou, 1990, Proceedings. International Test Conference 1990.

Kwang-Ting Cheng, Angela Krstic, 1999, Computer.

Kwang-Ting Cheng, Angela Krstic, 1998 .

Kwang-Ting Cheng, Yi-Leh Wu, Ching-Tung Wu, 2005, IEEE International Conference on Image Processing 2005.

Kwang-Ting Cheng, Dongwoo Hong, Chee-Kian Ong, 2004, 22nd IEEE VLSI Test Symposium, 2004. Proceedings..

Kwang-Ting Cheng, Jiun-Lang Huang, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Kwang-Ting Cheng, Angela Krstic, Yi-Min Jiang, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, Mitchell Lin, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Kwang-Ting Cheng, 2006, IEEE Des. Test Comput..

Kwang-Ting Cheng, 1995, Proceedings 13th IEEE VLSI Test Symposium.

Kwang-Ting Cheng, 1991, 28th ACM/IEEE Design Automation Conference.

Dmitri B. Strukov, Kwang-Ting Cheng, D. Strukov, 2012, ISPD '12.

Xin Yang, Kwang-Ting Cheng, Fei Gao, 2018, 2018 IEEE International Conference on Robotics and Automation (ICRA).

Kwang-Ting Cheng, Dongwoo Hong, 2010 .

Vishwani D. Agrawal, Kwang-Ting Cheng, Shianling Wu, 2000, Asian Test Symposium.

Xin Yang, Kwang-Ting Cheng, Chong Huang, 2014, ACM Multimedia.

Kwang-Ting Cheng, Chih-Jen Lin, Huan-Chih Tsai, 1997, DAC.

Kwang-Ting Cheng, Kai Yang, Li-C. Wang, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Kwang-Ting Cheng, Ching-Tung Wu, Qiang Zhu, 2004, 2004 International Conference on Image Processing, 2004. ICIP '04..

Kwang-Ting Cheng, Scott Davidson, Tapan J. Chakraborty, 1994, AT&T Technical Journal.

Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, 1998, DAC.

Kwang-Ting Cheng, A. S. Krishnakumar, K. Cheng, 1993, 30th ACM/IEEE Design Automation Conference.

Ping-Ying Wang, Kwang-Ting Cheng, Hsiu-Ming Chang, 2011, 2011 Design, Automation & Test in Europe.

M. Ray Mercer, Rohit Kapur, Thomas W. Williams, 2002, Proceedings. International Test Conference.

Kwang-Ting Cheng, Angela Krstic, K. Cheng, 1998 .

Kwang-Ting Cheng, Tsung-Ching Huang, Raymond G. Beausoleil, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Kwang-Ting Cheng, Fan Lin, Chun-Kai Hsu, 2014, 2014 International Test Conference.

Edward Y. Chang, Kwang-Ting Cheng, Yi-Leh Wu, 2002, IEEE Pacific Rim Conference on Multimedia.

Xin Yang, Kwang-Ting Cheng, Tangli Xue, 2015, 2015 IEEE International Symposium on Mixed and Augmented Reality.

Kwang-Ting Cheng, Çetin Kaya Koç, Nicole Fern, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Shi-Yu Huang, Kwang-Ting Cheng, 1998 .

Vishwani D. Agrawal, Kwang-Ting Cheng, K. Cheng, 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Kwang-Ting Cheng, Chao-Yue Lai, Chung-Yang Huang, 2009 .

Kwang-Ting Cheng, Yan Zheng, Amirali Ghofrani, 2012, 17th Asia and South Pacific Design Automation Conference.

Kwang-Ting Cheng, 2006, IEEE Des. Test Comput..

Kurt Keutzer, Kwang-Ting Cheng, Srinivas Devadas, 1991, 1991, Proceedings. International Test Conference.

Kwang-Ting Cheng, Wei-Cheng Lai, Angela Krstic, 2000, IEEE Des. Test Comput..

Shi-Yu Huang, Kwang-Ting Cheng, Wei-Jin Dai, 1995, ICCAD.

Kwang-Ting Cheng, Ganapathy Parthasarathy, Madhu K. Iyer, 2005, Design, Automation and Test in Europe.

Kwang-Ting Cheng, Rui Wu, Raymond G. Beausoleil, 2015, 2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Kwang-Ting Cheng, Ziyad Hanna, John Moondanos, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Kwang-Ting Cheng, Kuan-Yu Lin, Hsiu-Ming Chang, 2009, 2009 10th International Symposium on Quality Electronic Design.

Yucheng Wang, Kwang-Ting Cheng, Chung-Yang Huang, 1998, ISPD '98.

Kwang-Ting Cheng, T. M. Mak, Mike Peng Li, 2008 .

Edward Y. Chang, Kwang-Ting Cheng, Wei-Cheng Lai, 2001, Proceedings 2001 IEEE International Conference on Data Mining.

Kwang-Ting Cheng, Sandip Kundu, Angela Krstic, 2000, ASP-DAC '00.

Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, 1997, Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.

Kwang-Ting Cheng, Sandeep Gupta, Chen-Yang Pan, 1996, J. Electron. Test..

Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).

Kwang-Ting Cheng, Dongwoo Hong, Chee-Kian Ong, 2006, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kwang-Ting Cheng, Kai Yang, Li-C. Wang, 2004 .

Kenneth M. Butler, Kwang-Ting Cheng, Wangyang Zhang, 2011, 2011 IEEE International Test Conference.

Xin Yang, Kwang-Ting Cheng, Wenyu Liu, 2015, MICCAI.

Kwang-Ting Cheng, Sandip Kundu, Jing-Jia Liou, 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

Kwang-Ting Cheng, Yi-Leh Wu, Ching-Tung Wu, 2004, MULTIMEDIA '04.

Kwang-Ting Cheng, Hsiu-Ming Chang, Min-Sheng Lin, 2008, 2008 17th Asian Test Symposium.

Kwang-Ting Cheng, K. L. Tai, Zao Yang, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Kwang-Ting Cheng, Kai Yang, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Bryan Donyanavard, Kwang-Ting Cheng, Yi-Chu Wang, 2010, ECCV Workshops.

Kaushik Roy, Kwang-Ting Cheng, T. M. Mak, 2003, Proceedings. 21st VLSI Test Symposium, 2003..

Edward Y. Chang, Kwang-Ting Cheng, Kingshy Goh, 2001, CIKM '01.

Vishwani D. Agrawal, Kwang-Ting Cheng, K. Cheng, 1990 .

Kwang-Ting Cheng, Kuang-Chien Chen, Shi-Yu Huang, 1997 .

Mei-Chen Yeh, Kwang-Ting Cheng, Shih-Wei Chu, 2008, ACM Multimedia.

Kwang-Ting Cheng, Feng Lu, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kwang-Ting Cheng, Charles E. Stroud, Laung-Terng Wang, 2008, Wiley Encyclopedia of Computer Science and Engineering.

Edward Y. Chang, Kwang-Ting Cheng, Wei-Cheng Lai, 2002, IEEE Pacific Rim Conference on Multimedia.

Prathima Agrawal, Vishwani D. Agrawal, Kwang-Ting Cheng, 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

Kwang-Ting Cheng, Nicole Fern, Ismail San, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Wei Liu, Baoyuan Wu, Xin Yang, 2018, International Journal of Computer Vision.

Kwang-Ting Cheng, 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, 2007, IEEE Des. Test Comput..

Kwang-Ting Cheng, D. Agrawal, K. Cheng, 1988 .

Shi-Yu Huang, Kwang-Ting Cheng, Forrest Brewer, 2000, IEEE Trans. Computers.

Kwang-Ting Cheng, Angela Krstic, Yi-Min Jiang, 2000, IEEE Trans. Very Large Scale Integr. Syst..

Kwang-Ting Cheng, Angela Krstic, Li-C. Wang, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Kwang-Ting Cheng, Dongwoo Hong, Chee-Kian Ong, 2004 .

Kwang-Ting Cheng, 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

Kwang-Ting Cheng, Li-C. Wang, Ganapathy Parthasarathy, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Kwang-Ting Cheng, Srimat T. Chakradhar, Mango Chia-Tso Chao, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Xin Yang, Kwang-Ting Cheng, Qiang Zhu, 2009, MM '09.

Kwang-Ting Cheng, Feng Lu, Li-C. Wang, 2005, Design, Automation and Test in Europe.

Shi-Yu Huang, Kwang-Ting Cheng, 1998 .

Kwang-Ting Cheng, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Kwang-Ting Cheng, Kuan-Yu Lin, Hsiu-Ming Chang, 2009, 2009 Asian Test Symposium.

Kwang-Ting Cheng, Angela Krstic, Li-C. Wang, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Kwang-Ting Cheng, Tsung-Ching Huang, Huai-Yuan Tseng, 2007, DAC '07.

Edward Y. Chang, Kwang-Ting Cheng, Kingshy Goh, 2001, MULTIMEDIA '01.

Kwang-Ting Cheng, Marco Fiorentino, Rui Wu, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kwang-Ting Cheng, 2007, IEEE Design & Test of Computers.

Kwang-Ting Cheng, Yi-Chu Wang, 2012, 2012 IEEE Workshop on Signal Processing Systems.

Kwang-Ting Cheng, Amirali Ghofrani, Saeed Shamshiri, 2011, 2011 IEEE International Test Conference.

Kwang-Ting Cheng, Cheng Li, Yun Pan, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Xin Yang, Kwang-Ting Cheng, Qiong Liu, 2011, VRCAI.

Kwang-Ting Cheng, Yi-Chu Wang, Sydney Pang, 2010, ACM Multimedia.

Edward Y. Chang, Kwang-Ting Cheng, Yi-Leh Wu, 2003, Fourth International Conference on Information, Communications and Signal Processing, 2003 and the Fourth Pacific Rim Conference on Multimedia. Proceedings of the 2003 Joint.

Vishwani D. Agrawal, Kwang-Ting Cheng, Ernest S. Kuh, 1990, IEEE Trans. Computers.

Kwang-Ting Cheng, Saeed Shamshiri, Peter Lisherness, 2008, 2008 IEEE International Test Conference.

Xin Yang, Kwang-Ting Cheng, K. Cheng, 2012, 2012 IEEE International Symposium on Mixed and Augmented Reality (ISMAR).

Shi-Yu Huang, Kwang-Ting Cheng, Wei-Jin Dai, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, Angela Krstic, Jing-Jia Liou, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Zhiwei Wang, Xin Yang, Kwang-Ting Cheng, 2018, ACM Multimedia.

Kwang-Ting Cheng, Ganapathy Parthasarathy, Madhu K. Iyer, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Kwang-Ting Cheng, Yung-Chieh Lin, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Kwang-Ting Cheng, Madhavan Swaminathan, Ting Lei, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ying Wang, Kwang-Ting Cheng, Cheng Liu, 2020, 2020 IEEE 31st International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Kwang-Ting Cheng, Yi-Min Jiang, An-Chang Deng, 1998, ISLPED '98.

Vishwani D. Agrawal, Kwang-Ting Cheng, 1991, DAC '90.

Kwang-Ting Cheng, Shichao Li, 2019, ArXiv.

Kwang-Ting Cheng, Hsi-Chuan Chen, 1994, 31st Design Automation Conference.

Kwang-Ting Cheng, Hsiu-Ming Chang, K. Cheng, 2010, IPSJ Trans. Syst. LSI Des. Methodol..

Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, 1996, Proceedings International Test Conference 1996. Test and Design Validity.

Kwang-Ting Cheng, Yuyang Wang, K. Cheng, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Vishwani D. Agrawal, Kwang-Ting Cheng, 1991, DAC '90.

Kwang-Ting Cheng, Yi-Leh Wu, Ching-Tung Wu, 2004, Sixth IEEE International Conference on Automatic Face and Gesture Recognition, 2004. Proceedings..

Kwang-Ting Cheng, Ding-Ming Kwai, Jiun-Lang Huang, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kwang-Ting Cheng, Saeed Shamshiri, K. Cheng, 2010, 2010 IEEE International Test Conference.

Kwang-Ting Cheng, 2007, IEEE Des. Test Comput..

Kwang-Ting Cheng, Luis Entrena, 1993, 1993 European Conference on Design Automation with the European Event in ASIC Design.

Kwang-Ting Cheng, Subrata Roy, Gokhan Guner, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Kwang-Ting Cheng, Magdy S. Abadir, Li-C. Wang, 2004, Proceedings. 41st Design Automation Conference, 2004..

Kwang-Ting Cheng, Hsiu-Ming Chang, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Kwang-Ting Cheng, 2005, Embedded Systems Handbook.

Vishwani D. Agrawal, Kwang-Ting Cheng, K. Cheng, 1989 .

Kwang-Ting Cheng, 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

Kwang-Ting Cheng, Tao Feng, Li-C. Wang, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Vishwani D. Agrawal, Kwang-Ting Cheng, Huan-Chih Tsai, 2000, Proceedings - Design Automation Conference.

Kwang-Ting Cheng, Kuan-Yu Lin, Hsiu-Ming Chang, 2009, 2009 27th IEEE VLSI Test Symposium.

Kwang-Ting Cheng, Forrest Brewer, Ganapathy Parthasarathy, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Kwang-Ting Cheng, Jiun-Lang Huang, Chen-Yang Pan, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Kwang-Ting Cheng, Angela Krstic, Jing-Jia Liou, 2001 .

Kwang-Ting Cheng, Jing-Yang Jou, K. Cheng, 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

Kwang-Ting Cheng, Cheng-Wen Wu, Chee-Kian Ong, 2000, Proceedings of the Ninth Asian Test Symposium.

Vishwani D. Agrawal, Kwang-Ting Cheng, K. Cheng, 1989 .

Kwang-Ting Cheng, Ying-Tsai Chang, K. Cheng, 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

Kwang-Ting Cheng, Marco Fiorentino, Rui Wu, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Kwang-Ting Cheng, Xiaolang Yan, Ziyad Hanna, 2007 .

Kwang-Ting Cheng, Angela Krstic, 1998 .

Kwang-Ting Cheng, Nicole Lesperance, Peter Lisherness, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kaushik Roy, Sujit Dey, Kwang-Ting Cheng, 2000, Proceedings - Design Automation Conference.

Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.

Mei-Chen Yeh, Kwang-Ting Cheng, Shai Avidan, 2006, 2006 IEEE Computer Society Conference on Computer Vision and Pattern Recognition (CVPR'06).

Kwang-Ting Cheng, Dong Xiang, Boxue Yin, 2009, 2009 27th IEEE VLSI Test Symposium.

Kwang-Ting Cheng, Qiong Liu, Yan-Ying Chen, 2017, ArXiv.

Shi-Yu Huang, Kwang-Ting Cheng, 1998 .

Wen-Ben Jone, Kwang-Ting Cheng, Laung-Terng Wang, 2006 .

Kwang-Ting Cheng, Zhenan Bao, Madhavan Swaminathan, 2019 .

Kwang-Ting Cheng, Ying-Tsai Chang, 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, Jiun-Lang Huang, Tsung-Ching Huang, 2011, IEEE Design & Test of Computers.

Kwang-Ting Cheng, 2008, IEEE Des. Test Comput..

Kwang-Ting Cheng, Angela Krstic, 1998 .

Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, 1995, IEEE Des. Test Comput..

Kwang-Ting Cheng, Ding-Ming Kwai, Jiun-Lang Huang, 2010, Design Automation Conference.

Vishwani D. Agrawal, Kwang-Ting Cheng, K. Cheng, 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Kwang-Ting Cheng, Li-C. Wang, Jing-Jia Liou, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Shi-Yu Huang, Mike Tien-Chien Lee, Kwang-Ting Cheng, 1996, ISLPED '96.

Xin Yang, Kwang-Ting Cheng, Peng Chen, 2017, 2017 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS).

Kwang-Ting Cheng, Jiun-Lang Huang, 2001, Proceedings 19th IEEE VLSI Test Symposium. VTS 2001.

Kwang-Ting Cheng, Wayne Wei-Ming Dai, K. Cheng, 1993, GeoInformatica.

Kwang-Ting Cheng, Nicole Fern, Shrikant Kulkarni, 2015, 2015 IEEE International Test Conference (ITC).

Kwang-Ting Cheng, 2008, IEEE Des. Test Comput..

Kwang-Ting Cheng, Fan Lin, Chun-Kai Hsu, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kwang-Ting Cheng, Hsiu-Ming Chang, K. Cheng, 2009, 2009 IEEE Custom Integrated Circuits Conference.

Kwang-Ting Cheng, Saeed Mirzaeian, Feijun Zheng, 2008, 2008 IEEE International Test Conference.

Kwang-Ting Cheng, Angela Krstic, Yi-Min Jiang, 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

Kwang-Ting Cheng, Chee-Kian Ong, 2002, Proceedings 20th IEEE VLSI Test Symposium (VTS 2002).

Kwang-Ting Cheng, Srimat T. Chakradhar, Mango Chia-Tso Chao, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Kwang-Ting Cheng, Srimat T. Chakradhar, Angela Krstic, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kenneth M. Butler, Kwang-Ting Cheng, Wangyang Zhang, 2013, 2013 IEEE International Test Conference (ITC).

Kwang-Ting Cheng, Madhavan Swaminathan, Ting Lei, 2019, VLSI-DAT.

Kwang-Ting Cheng, Ming Gao, Peter Lisherness, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Kwang-Ting Cheng, Angela Krstic, 1995, Proceedings 13th IEEE VLSI Test Symposium.

Jason Cong, Kwang-Ting Cheng, Alex A. T. Bui, 2012, 17th Asia and South Pacific Design Automation Conference.

Vishwani D. Agrawal, Kwang-Ting Cheng, 1989, IEEE International Symposium on Circuits and Systems,.

Mei-Chen Yeh, Kwang-Ting Cheng, Qiang Zhu, 2006, MM '06.

Kwang-Ting Cheng, Angela Krstic, 1998 .

Xiangyu Zhang, Xin Yang, Kwang-Ting Cheng, 2019, 2019 IEEE/CVF International Conference on Computer Vision (ICCV).

Kwang-Ting Cheng, Frank Yeong-Sung Lin, 1994, 1994 IEEE GLOBECOM. Communications: The Global Bridge.

Kwang-Ting Cheng, Yi-Min Jiang, Han Young Koh, 2001, Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.

Kwang-Ting Cheng, Dong Xiang, Boxue Yin, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kwang-Ting Cheng, Salvador Mir, Andrew Richardson, 2006, Journal of electronic testing.

Mei-Chen Yeh, Kwang-Ting Cheng, Mei-Chen Yeh, 2008, MIR '08.

Kwang-Ting Cheng, 1996, Asian Test Symposium.

Kwang-Ting Cheng, Feng Lu, 2006, 2006 IEEE International High Level Design Validation and Test Workshop.

Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, 1996, Proceedings of the Sixth Great Lakes Symposium on VLSI.

Kwang-Ting Cheng, Huan-Chih Tsai, Sudipta Bhawmik, 1999, DAC '99.

Kwang-Ting Cheng, Feng Lu, Yung-Chieh Lin, 2005, 2005 International Conference on Computer Design.

Kwang-Ting Cheng, Tao Feng, Andy Lin, 2004, Proceedings. Ninth IEEE International High-Level Design Validation and Test Workshop (IEEE Cat. No.04EX940).

Kwang-Ting Cheng, Yun Pan, Fan Lan, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kwang-Ting Cheng, Luis Entrena, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, Jin-Fu Li, Feijun Zheng, 2007, 2007 IEEE International SOC Conference.

Kwang-Ting Cheng, Çetin Kaya Koç, Nicole Fern, 2016, 2016 26th International Conference on Field Programmable Logic and Applications (FPL).

Kwang-Ting Cheng, Amirali Ghofrani, Valeria Bertacco, 2012, 2012 IEEE 30th VLSI Test Symposium (VTS).

Kwang-Ting Cheng, Srimat T. Chakradhar, Mango Chia-Tso Chao, 2005, 2005 International Conference on Computer Design.

Kwang-Ting Cheng, Lukas Geiger, Zechun Liu, 2019, NeurIPS.

Kwang-Ting Cheng, Tao Feng, Magdy S. Abadir, 2002, Proceedings. International Test Conference.

Kwang-Ting Cheng, Jing-Yang Jou, K. Cheng, 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Edward Y. Chang, Kwang-Ting Cheng, Wei-Cheng Lai, 2003, Web Document Analysis.

Kwang-Ting Cheng, Ling Zhang, Yun Pan, 2016, 2016 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).

Xin Yang, Kwang-Ting Cheng, K. Cheng, 2014, IEEE Transactions on Pattern Analysis and Machine Intelligence.

Kwang-Ting Cheng, Jing-Yang Jou, 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Kwang-Ting Cheng, 2008, IEEE Des. Test Comput..

Kwang-Ting Cheng, HongJiang Zhang, Qiang Zhu, 2004, 2004 IEEE International Conference on Multimedia and Expo (ICME) (IEEE Cat. No.04TH8763).

Kwang-Ting Cheng, Ming Gao, Hsiu-Ming Chang, 2008, 2008 17th Asian Test Symposium.

Vishwani D. Agrawal, Kwang-Ting Cheng, S. Bhawmik, 1991, Proceedings of the IEEE 1991 Custom Integrated Circuits Conference.

Irith Pomeranz, Kwang-Ting Cheng, K. Cheng, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

Kwang-Ting Cheng, Li-C. Wang, Ganapathy Parthasarathy, 2003, Eighth IEEE International High-Level Design Validation and Test Workshop.

Kwang-Ting Cheng, Angela Krstic, 1998 .

Kwang-Ting Cheng, A. S. Krishnakumar, 1994, 31st Design Automation Conference.

Xin Yang, Kwang-Ting Cheng, Liheng Zhang, 2015, ACM Multimedia.

Kwang-Ting Cheng, Chung-Yang Huang, K. Cheng, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, Jing-Yang Jou, 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

Kwang-Ting Cheng, Nicole Fern, 2017 .

Kwang-Ting Cheng, Dongwoo Hong, K. Cheng, 2010 .

Kwang-Ting Cheng, Ming Gao, Peter Lisherness, 2012, 2012 IEEE International Test Conference.

Kwang-Ting Cheng, Ming Gao, 2010, 2010 IEEE International High Level Design Validation and Test Workshop (HLDVT).

Kwang-Ting Cheng, Chen-Yang Pan, K. Cheng, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, Huan-Chih Tsai, Bwolen Yang, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Kwang-Ting Cheng, Angela Krstic, Hsi-Chuan Chen, 1996, IEEE Trans. Computers.

Kwang-Ting Cheng, Luis Entrena, K. Cheng, 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

Kwang-Ting Cheng, Tsung-Ching Huang, Cheng-Yi Chiang, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Kwang-Ting Cheng, Sandip Kundu, Li-C. Wang, 2004, ICCAD 2004.

Kwang-Ting Cheng, Peter Lisherness, 2012, 17th Asia and South Pacific Design Automation Conference.

Kwang-Ting Cheng, Li-C. Wang, T. M. Mak, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Kwang-Ting Cheng, Hsi-Chuan Chen, 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kwang-Ting Cheng, 2008, IEEE Design & Test of Computers.

Kwang-Ting Cheng, Kuan-Yu Lin, Hsiu-Ming Chang, 2010, 2010 28th VLSI Test Symposium (VTS).

Xin Yang, Kwang-Ting Cheng, Xinggang Wang, 2016, MMM.

Claudio Gallicchio, Kwang-Ting Cheng, Dashan Shang, 2021, ArXiv.

Kwang-Ting Cheng, T. K. Young, K. Cheng, 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

Shi-Yu Huang, Kwang-Ting Cheng, Kuang-Chien Chen, 1996, 33rd Design Automation Conference Proceedings, 1996.

Kwang-Ting Cheng, Wei-Cheng Lai, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Kwang-Ting Cheng, Chen-Yang Pan, 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Vishwani D. Agrawal, Kwang-Ting Cheng, 1990, IEEE International Symposium on Circuits and Systems.

Kwang-Ting Cheng, H.-S. Philip Wong, Tsung-Ching Huang, 2019, Nature Communications.

Kwang-Ting Cheng, Yi-Min Jiang, 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

Kwang-Ting Cheng, Maryse Fournier, Jean-Marc Fedeli, 2015, Optics express.

Kwang-Ting Cheng, Chen-Yang Pan, K. Cheng, 1999 .

Kwang-Ting Cheng, Huan-Chih Tsai, K. Cheng, 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

Kwang-Ting Cheng, U. Glaser, 1995, Proceedings of ASP-DAC'95/CHDL'95/VLSI'95 with EDA Technofair.

Kwang-Ting Cheng, Shai Avidan, Qiang Zhu, 2005 .

Kwang-Ting Cheng, Marco Fiorentino, Tsung-Ching Huang, 2016 .

Kwang-Ting Cheng, Jing-Jia Liou, S. Kundu, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Kwang-Ting Cheng, Kai Yang, 2006 .

Kwang-Ting Cheng, Yi-Min Jiang, An-Chang Deng, 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

Kwang-Ting Cheng, Tsung-Ching Huang, Jiun-Lang Huang, 2014, Foundations and Trends® in Electronic Design Automation.

Kwang-Ting Cheng, Huan-Chih Tsai, S. Bhawmik, 1997, Proceedings of the 34th Design Automation Conference.

Kwang-Ting Cheng, Srimat Chakradhar, Wenlong Wei, 2007, ICCAD 2007.

Kwang-Ting Cheng, Huan-Chih Tsai, S. Bhawmik, 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

Kwang-Ting Cheng, Kuanping Shang, Binbin Guan, 2015, Optics express.

Kwang-Ting Cheng, John E Bowers, Jock Bovington, 2014, Optics express.

Kwang-Ting Cheng, M. Rodgers, K. Roy, 2000, Proceedings 37th Design Automation Conference.