Rajiv Jain

发表

Cauligi S. Raghavendra, B. Sharma, Rajiv Jain, 1988, Proceedings 1988 IEEE International Conference on Computer Design: VLSI.

David S. Doermann, Rajiv Jain, D. Doermann, 2012, 2012 10th IAPR International Workshop on Document Analysis Systems.

David S. Doermann, Rajiv Jain, 2015, 2015 13th International Conference on Document Analysis and Recognition (ICDAR).

Rahul Goyal, Rajiv Jain, 2011 .

Alok Sharma, Rajiv Jain, 1995, IEEE Trans. Signal Process..

Nisha Gupta, Rajiv Jain, Vijay Kumar Samyal, 2015 .

Alok Sharma, Rajiv Jain, R. Jain, 1993, 30th ACM/IEEE Design Automation Conference.

Rajiv Jain, Alice C. Parker, Mitch J. Mlinar, 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

Minjoong Rim, Rajiv Jain, 1994, Proceedings of ICASSP '94. IEEE International Conference on Acoustics, Speech and Signal Processing.

Kewal K. Saluja, Rajiv Jain, Ashutosh Mujumdar, 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Rajiv Jain, Alice C. Parker, Nohbyung Park, 1987, 24th ACM/IEEE Design Automation Conference.

Rajiv Jain, John Peterson, 1994 .

Kewal K. Saluja, Rajiv Jain, Ashutosh Mujumdar, 1994, Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing.

Cauligi S. Raghavendra, Rajiv Jain, Meera Balakrishnan, 1988, International Conference on Parallel Processing.

Alok Sharma, Rajiv Jain, Ashutosh Mujumdar, 1991, 28th ACM/IEEE Design Automation Conference.

Rajiv Jain, Wing Hang Wong, 1995, ICCAD.

Rajiv Jain, Wing Hang Wong, 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

David S. Doermann, Rajiv Jain, 2013, 2013 12th International Conference on Document Analysis and Recognition.

Josep Lladós, David S. Doermann, Rajiv Jain, 2015, 2015 13th International Conference on Document Analysis and Recognition (ICDAR).

Rajiv Jain, Amrita Gaurav, 2012 .

Rajiv Jain, Prasad Avss, Sasidharan Prasant, 2009, 2009 International Symposium on VLSI Design, Automation and Test.

Alok Sharma, Rajiv Jain, R. Jain, 1993, 30th ACM/IEEE Design Automation Conference.

Minjoong Rim, Rajiv Jain, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

John Peterson, Rajiv Jain, Robert Seidl, 1994 .

Minjoong Rim, Rajiv Jain, Renato De Leone, 1994, Proceedings of 7th International Conference on VLSI Design.

Minjoong Rim, Rajiv Jain, R. Jain, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

David S. Doermann, Rajiv Jain, 2011, 2011 International Conference on Document Analysis and Recognition.

Minjoong Rim, Rajiv Jain, Yaw Fann, 1994, 31st Design Automation Conference.

Minjoong Rim, Rajiv Jain, R. Jain, 1994, 1994 Internatonal Conference on Parallel Processing Vol. 2.

Minjoong Rim, Rajiv Jain, Renato De Leone, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

David S. Doermann, Rajiv Jain, 2013, 2013 12th International Conference on Document Analysis and Recognition.

Rajiv Jain, Alice C. Parker, Nohbyung Park, 1990 .

Dana S. Nau, Vikas Shivashankar, Ugur Kuter, 2011, FLAIRS Conference.

Minjoong Rim, Rajiv Jain, 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.

Alok Sharma, Rajiv Jain, 1993, IEEE Trans. Very Large Scale Integr. Syst..

Minjoong Rim, Rajiv Jain, Renato De Leone, 1994, IEEE Trans. Very Large Scale Integr. Syst..

Minjoong Rim, Rajiv Jain, Yaw Fann, 1995, IEEE Trans. Very Large Scale Integr. Syst..

Rajiv Jain, Alice C. Parker, Kayhan Küçükçakar, 1989, 26th ACM/IEEE Design Automation Conference.

Minjoong Rim, Rajiv Jain, 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.

Rajiv Jain, Robert Seidl, John Peterson, 1994 .

Alok Sharma, Rajiv Jain, 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Douglas W. Oard, David S. Doermann, Rajiv Jain, 2013, Electronic Imaging.

Kewal K. Saluja, Hao Zheng, Rajiv Jain, 1995, Proceedings. Fifth Great Lakes Symposium on VLSI.

Rajiv Jain, Alice C. Parker, Nohbyung Park, 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

Rajiv Jain, 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Hongfu Liu, Handong Zhao, Vlad I. Morariu, 2021, 2021 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Tilman Dingler, Rajiv Jain, Zoya Bylinskii, 2021, CHI Extended Abstracts.

Kate Saenko, Vlad I. Morariu, Vicente Ordonez, 2021, 2021 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Tilman Dingler, Jonathan Dobres, Ben D. Sawyer, 2021, Found. Trends Hum. Comput. Interact..

Dinesh Manocha, Quan Hung Tran, Puneet Mathur, 2021, ACL.

Franck Dernoncourt, Xia Hu, Rajiv Jain, 2021, NAACL.

Quan Hung Tran, Rajiv Jain, Amir Pouran Ben Veyseh, 2021, PAKDD.

Balaji Vasan Srinivasan, Vlad I. Morariu, Rajiv Jain, 2021, EMNLP.

Balaji Vasan Srinivasan, Rajiv Jain, Aparna Garimella, 2021, EMNLP.

Douglas W. Oard, Philip Resnik, Vlad I. Morariu, 2021, ACL.

Gerhard Weikum, Brian Beckman, Christoph Bussler, 2001 .