J.A.G. Jess

发表

J. Pineda de Gyvez, J.A.G. Jess, 1990, IEEE International Symposium on Circuits and Systems.

J.A.G. Jess, M.J.M. Heijligers, 1995, Proceedings of 1995 IEEE International Conference on Evolutionary Computation.

M.R.C.M. Berkelaar, J.A.G. Jess, da R. Nobrega Tavares, 1998 .

J. Pineda de Gyvez, J.A.G. Jess, J. Jess, 1989, [1989] Proceedings of the 1st European Test Conference.

J.A.G. Jess, L. Stok, R. v.d. Born, 1988, 1988., IEEE International Symposium on Circuits and Systems.