Laxmi N. Bhuyan

发表

Xiao Zhang, Laxmi N. Bhuyan, 2004, IEEE Global Telecommunications Conference, 2004. GLOBECOM '04..

Laxmi N. Bhuyan, Danhua Guo, Guangdeng Liao, 2009, 2009 IEEE International Symposium on Workload Characterization (IISWC).

Laxmi N. Bhuyan, Jiani Guo, Raj Kumar, 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

Laxmi N. Bhuyan, Chih-Hsun Chou, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Laxmi N. Bhuyan, Rajiv Gupta, Kishore Kumar Pusukuri, 2014, PPoPP '14.

Dharma P. Agrawal, Laxmi N. Bhuyan, 1982, IEEE Transactions on Computers.

Laxmi N. Bhuyan, Satya Ranjan Mohanty, 2005, Proceedings. 14th International Conference on Computer Communications and Networks, 2005. ICCCN 2005..

Laxmi N. Bhuyan, Ashwini K. Nanda, L. Bhuyan, 1993, IEEE Trans. Computers.

Laxmi N. Bhuyan, Dharma P. Agrawal, D. Agrawal, 1982, ISCA '82.

Laxmi N. Bhuyan, 1985, IEEE Transactions on Computers.

Laxmi N. Bhuyan, Danhua Guo, 2011, 2011 Proceedings IEEE INFOCOM.

Laxmi N. Bhuyan, Jun Yang, Yan Luo, 2004, IEEE Micro.

Laxmi N. Bhuyan, Xubin He, Zhinyong Xu, 2005, PCCC 2005. 24th IEEE International Performance, Computing, and Communications Conference, 2005..

Laxmi N. Bhuyan, Hu-Jun Wang, 2001, Proceedings IEEE International Symposium on Network Computing and Applications. NCA 2001.

Michalis Faloutsos, Laxmi N. Bhuyan, Anirban Banerjee, 2008, IEEE INFOCOM 2008 - The 27th Conference on Computer Communications.

Laxmi N. Bhuyan, Akhilesh Kumar, Phanindra K. Mannava, 2001 .

Bin Liu, Laxmi N. Bhuyan, Hongbo Zhao, 2010, 2010 IEEE Global Telecommunications Conference GLOBECOM 2010.

Laxmi N. Bhuyan, Jiani Guo, 2003, IWDC.

Bin Liu, Laxmi N. Bhuyan, Danhua Guo, 2012, IEEE/ACM Transactions on Networking.

Chita R. Das, Laxmi N. Bhuyan, Lei Tien, 1990, [1990] Digest of Papers. Fault-Tolerant Computing: 20th International Symposium.

Laxmi N. Bhuyan, Rajiv Gupta, Kishore Kumar Pusukuri, 2011, 2011 IEEE International Symposium on Workload Characterization (IISWC).

Laxmi N. Bhuyan, Jian Zhou, Jingnan Yao, 2006, Proceedings. 2006 31st IEEE Conference on Local Computer Networks.

Mohan Kumar, Laxmi N. Bhuyan, S. Chingchit, 1999, Proceedings 13th International Parallel Processing Symposium and 10th Symposium on Parallel and Distributed Processing. IPPS/SPDP 1999.

Dharma P. Agrawal, Laxmi N. Bhuyan, Qing Yang, 1989, Computer.

Laxmi N. Bhuyan, L. Bhuyan, 2009, IEEE Trans. Parallel Distributed Syst..

Dharma P. Agrawal, Laxmi N. Bhuyan, D. Agrawal, 1982, ICPP.

Bin Liu, Laxmi N. Bhuyan, Danhua Guo, 2008, ANCS '08.

Laxmi N. Bhuyan, Yeimkuan Chang, 1999, IEEE Trans. Computers.

Laxmi N. Bhuyan, C. W. Lee, 1983, ICPP.

Laxmi N. Bhuyan, Jianxun Jason Ding, L. Bhuyan, 1995, Proceedings of Fourth International Conference on Computer Communications and Networks - IC3N'95.

Fabrizio Lombardi, Laxmi N. Bhuyan, Chao Feng, 1995 .

Laxmi N. Bhuyan, Ashwini K. Nanda, 1993, J. Parallel Distributed Comput..

Laxmi N. Bhuyan, Nan Ni, 2002, Proceedings.Twenty-First Annual Joint Conference of the IEEE Computer and Communications Societies.

Laxmi N. Bhuyan, Jilong Kuang, Danhua Guo, 2010, 2010 ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS).

Laxmi N. Bhuyan, Yi Hu, Min Feng, 2012, IEEE Transactions on Parallel and Distributed Systems.

Laxmi N. Bhuyan, José G. Delgado-Frias, Jabulani Nyathi, 2000, ACM Great Lakes Symposium on VLSI.

Laxmi N. Bhuyan, Danhua Guo, Guangdeng Liao, 2008, ANCS '08.

Laxmi N. Bhuyan, Jun Yang, Jingnan Yao, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Xiao Zhang, Laxmi N. Bhuyan, L. Bhuyan, 2003, IEEE J. Sel. Areas Commun..

Laxmi N. Bhuyan, Jingnan Yao, Jiani Guo, 2008, Comput. Commun..

Laxmi N. Bhuyan, Vamsee Lakamsani, D. Scott Linthicum, 1995, Parallel Comput..

Laxmi N. Bhuyan, Jian Zhou, Anirban Banerjee, 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.

Laxmi N. Bhuyan, Rajiv Gupta, Min Feng, 2014, LCPC.

Laxmi N. Bhuyan, Seyong Lee, Jeffrey S. Vetter, 2018, PPoPP.

Laxmi N. Bhuyan, Yi Hu, Vana Kalogeraki, 2009, IEEE INFOCOM 2009.

Laxmi N. Bhuyan, Dung Vu, Jeremy Castillo, 2014, 2014 IEEE International Conference on Multimedia and Expo (ICME).

Laxmi N. Bhuyan, Ravi R. Iyer, Akhilesh Kumar, 2000, IEEE Trans. Parallel Distributed Syst..

Laxmi N. Bhuyan, Nan Ni, 2001, Proceedings 15th International Parallel and Distributed Processing Symposium. IPDPS 2001.

Laxmi N. Bhuyan, Jogesh K. Muppala, 1989 .

Laxmi N. Bhuyan, Xia Zhu, Guangdeng Liao, 2010, 2010 18th IEEE Symposium on High Performance Interconnects.

Laxmi N. Bhuyan, Manoranjan Satpathy, Hadi Zamani, 2020, ISLPED.

Laxmi N. Bhuyan, Jilong Kuang, Haiyong Xie, 2011, 2011 ACM/IEEE Seventh Symposium on Architectures for Networking and Communications Systems.

Laxmi N. Bhuyan, Ashwini K. Nanda, L. Bhuyan, 1991, Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing.

Laxmi N. Bhuyan, Jun Yang, Walid A. Najjar, 2003, CASES '03.

Mohan Kumar, Laxmi N. Bhuyan, Ashwini K. Nanda, 1997, IEEE Trans. Parallel Distributed Syst..

Chita R. Das, Laxmi N. Bhuyan, C. Das, 1987, Inf. Sci..

Vivek Sarkar, Laxmi N. Bhuyan, Fred Chong, 2015, ICS.

Laxmi N. Bhuyan, Rajiv Gupta, Mehmet E. Belviranli, 2016, ICS.

Laxmi N. Bhuyan, K. K. Ramakrishnan, Liang Zhou, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Laxmi N. Bhuyan, Yeimkuan Chang, L. Bhuyan, 1995, IEEE Trans. Computers.

Laxmi N. Bhuyan, Dipak Ghosal, L. Bhuyan, 1987, ISCA '87.

Laxmi N. Bhuyan, Jingnan Yao, Jiani Guo, 2005, Proceedings IEEE 24th Annual Joint Conference of the IEEE Computer and Communications Societies..

Laxmi N. Bhuyan, Qing Yang, George Thangadurai, 1990, Proceedings of the Second IEEE Symposium on Parallel and Distributed Processing 1990.

Laxmi N. Bhuyan, Hong Jiang, Jogesh K. Muppala, 1991, J. Parallel Distributed Comput..

Laxmi N. Bhuyan, Murali Kadiyala, 1995, Proceedings of ICCD '95 International Conference on Computer Design. VLSI in Computers and Processors.

Laxmi N. Bhuyan, Rabi N. Mahapatra, V. C. Ravikumar, 2005, IEEE Transactions on Computers.

Laxmi N. Bhuyan, Yeimkuan Chang, L. Bhuyan, 1993, 1993 International Conference on Parallel Processing - ICPP'93.

Bin Liu, Laxmi N. Bhuyan, Xin Zhang, 2007, IEEE GLOBECOM 2007 - IEEE Global Telecommunications Conference.

Laxmi N. Bhuyan, K. K. Ramakrishnan, Liang Zhou, 2020, ISLPED.

Fabrizio Lombardi, Laxmi N. Bhuyan, Amitabh Mishra, 1995, Proceedings of 9th International Parallel Processing Symposium.

Laxmi N. Bhuyan, Ravi R. Iyer, Akhilesh Kumar, 1998, Proceedings of the First Merged International Parallel Processing Symposium and Symposium on Parallel and Distributed Processing.

Zhiyong Xu, Laxmi N. Bhuyan, Jingnan Yao, 2004, IEEE Global Telecommunications Conference, 2004. GLOBECOM '04..

Xiao Zhang, Laxmi N. Bhuyan, 2005, GLOBECOM '05. IEEE Global Telecommunications Conference, 2005..

Chita R. Das, Laxmi N. Bhuyan, Prasant Mohapatra, 1993, IEEE Trans. Parallel Distributed Syst..

Dharma P. Agrawal, Laxmi N. Bhuyan, D. Agrawal, 1984, IEEE Transactions on Computers.

Xi Chen, Laxmi N. Bhuyan, Yan Luo, 2004, Des. Autom. Embed. Syst..

Laxmi N. Bhuyan, P. Chuavalee, L. Bhuyan, 1988, Integr..

Dharma P. Agrawal, Laxmi N. Bhuyan, D. Agrawal, 1982, ISCA '82.

Chita R. Das, Laxmi N. Bhuyan, C. Das, 1985, IEEE Transactions on Computers.

Laxmi N. Bhuyan, Dipak Ghosal, 1990, IEEE Trans. Computers.

Laxmi N. Bhuyan, Guoqiang Zhang, Tao Lin, 2015, Comput. Networks.

Bin Liu, Laxmi N. Bhuyan, Yue Zhang, 2008, 2008 The 28th International Conference on Distributed Computing Systems.

Laxmi N. Bhuyan, Qing Yang, L. Bhuyan, 1991, IEEE Trans. Computers.

Xiao Zhang, Laxmi N. Bhuyan, Satya Ranjan Mohanty, 2007, IEEE INFOCOM 2007 - 26th IEEE International Conference on Computer Communications.

Laxmi N. Bhuyan, Li Zhao, Srihari Makineni, 2005, 2005 International Conference on Computer Design.

Laxmi N. Bhuyan, Akhilesh Kumar, Phanindra K. Mannava, 2001 .

Laxmi N. Bhuyan, Ravi R. Iyer, R. Iyer, 2000, IEEE Trans. Computers.

Laxmi N. Bhuyan, Walid A. Najjar, Abhishek Mitra, 2007, ANCS '07.

Laxmi N. Bhuyan, Jiani Guo, 2006, IEEE Transactions on Parallel and Distributed Systems.

Laxmi N. Bhuyan, Yeim-Kuan Chang, 1999 .

Fabrizio Lombardi, Laxmi N. Bhuyan, Chao Feng, 1994, Proceedings of 1994 6th IEEE Symposium on Parallel and Distributed Processing.

Laxmi N. Bhuyan, Akhilesh Kumar, 1993, 1993 International Conference on Parallel Processing - ICPP'93.

Laxmi N. Bhuyan, Yang Yang, Dung Vu, 2012, 2012 IEEE 31st International Performance Computing and Communications Conference (IPCCC).

Xiao Zhang, Laxmi N. Bhuyan, Wu-chun Feng, 2005, J. Parallel Distributed Comput..

Fabrizio Lombardi, Laxmi N. Bhuyan, Chao Feng, 1996, IEEE Trans. Computers.

Zhiyong Xu, Laxmi N. Bhuyan, Yiming Hu, 2004, 18th International Parallel and Distributed Processing Symposium, 2004. Proceedings..

Zhiyong Xu, Laxmi N. Bhuyan, Jizhong Han, 2008, 2008 International Conference on Networking, Architecture, and Storage.

Zhiyong Xu, Laxmi N. Bhuyan, L. Bhuyan, 2006, Sixth IEEE International Symposium on Cluster Computing and the Grid (CCGRID'06).

Laxmi N. Bhuyan, Li Zhao, Srihari Makineni, 2005, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005..

Xi Chen, Laxmi N. Bhuyan, Yan Luo, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Honggang Zhang, Zhiyong Xu, Laxmi N. Bhuyan, 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.

Zhiyong Xu, Laxmi N. Bhuyan, Jizhong Han, 2007, 2007 IEEE International Performance, Computing, and Communications Conference.

Laxmi N. Bhuyan, Dipak Ghosal, L. Bhuyan, 1992 .

Chita R. Das, Laxmi N. Bhuyan, V. V. S. Sarma, 1987, IEEE Transactions on Reliability.

Dharma P. Agrawal, Laxmi N. Bhuyan, D. Agrawal, 1982, ICDCS.

Laxmi N. Bhuyan, Rajiv Gupta, Kishore Kumar Pusukuri, 2015, ACM Trans. Archit. Code Optim..

Abdul Waheed, Laxmi N. Bhuyan, Jingnan Yao, 2010, J. Parallel Distributed Comput..

Laxmi N. Bhuyan, Jilong Kuang, Dung Vu, 2014, 2014 IEEE International Conference on Multimedia and Expo (ICME).

Laxmi N. Bhuyan, Yang Yang, Philip Brisk, 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

Laxmi N. Bhuyan, Chih-Hsun Chou, Daniel Wong, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Laxmi N. Bhuyan, Li Zhao, Srihari Makineni, 2007, IEEE Transactions on Computers.

Laxmi N. Bhuyan, 2008, IEEE Trans. Parallel Distributed Syst..

Laxmi N. Bhuyan, Qing Yang, Dipak Ghosal, 1989, IEEE Trans. Computers.

Laxmi N. Bhuyan, Yeimkuan Chang, 1995, IEEE Trans. Computers.

Laxmi N. Bhuyan, Hu-Jun Wang, L. Bhuyan, 2003, IEEE Trans. Computers.

Zhiyong Xu, Laxmi N. Bhuyan, Yiming Hu, 2006, 2006 IEEE International Performance Computing and Communications Conference.

Laxmi N. Bhuyan, Qing Yang, Bao-Chyn Liu, 1989, IEEE Trans. Computers.

Laxmi N. Bhuyan, Akhilesh Kumar, Yeimkuan Chang, 1994, 1994 International Conference on Parallel Processing Vol. 1.

Laxmi N. Bhuyan, Yi Hu, Min Feng, 2012, Comput. Networks.

Laxmi N. Bhuyan, Dipak Ghosal, L. Bhuyan, 1987, ICPP.

Laxmi N. Bhuyan, Qin Liu, L. Bhuyan, 2014, 2014 20th IEEE International Conference on Parallel and Distributed Systems (ICPADS).

Laxmi N. Bhuyan, Guangdeng Liao, 2012, J. Parallel Distributed Comput..

Laxmi N. Bhuyan, Jilong Kuang, Dung Vu, 2012, 2012 IEEE International Conference on Multimedia and Expo.

Dhabaleswar K. Panda, Laxmi N. Bhuyan, Wu-chun Feng, 2005, 13th Symposium on High Performance Interconnects (HOTI'05).

Laxmi N. Bhuyan, Jingnan Yao, Jianxun Jason Ding, 2008, 2008 IEEE International Conference on Communications.

Laxmi N. Bhuyan, Guangdeng Liao, Heeyeol Yu, 2010, Design Automation Conference.

Dharma P. Agrawal, Laxmi N. Bhuyan, D. Agrawal, 1899, AFIPS '82.

Fang Chen, Laxmi N. Bhuyan, Jiani Guo, 2003, Proceedings International Parallel and Distributed Processing Symposium.

Laxmi N. Bhuyan, Yan Luo, Li Zhao, 2006, IEEE Micro.

Laxmi N. Bhuyan, Sambit K. Shukla, 2013, 20th Annual International Conference on High Performance Computing.

Laxmi N. Bhuyan, Satya Ranjan Mohanty, L. Bhuyan, 2006, Proceedings. 2006 31st IEEE Conference on Local Computer Networks.

Wei Wu, Laxmi N. Bhuyan, Guangdeng Liao, 2010, 2010 ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS).

Laxmi N. Bhuyan, Daniel Wong, AmirAli Abdolrashidi, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Zhiyong Xu, Laxmi N. Bhuyan, Yiming Hu, 2004, 18th International Parallel and Distributed Processing Symposium, 2004. Proceedings..

Zhiyong Xu, Laxmi N. Bhuyan, Yiming Hu, 2006, The Journal of Supercomputing.

Laxmi N. Bhuyan, Qing Yang, L. Bhuyan, 1988, International Conference on Parallel Processing.

Laxmi N. Bhuyan, Rabi N. Mahapatra, Heeyeol Yu, 2009, 2009 17th IEEE International Conference on Network Protocols.

Laxmi N. Bhuyan, Danhua Guo, Guangdeng Liao, 2009, ANCS '09.

Laxmi N. Bhuyan, Akhilesh Kumar, Phanindra K. Mannava, 1994, Proceedings of Supercomputing '94.

Zhiyong Xu, Laxmi N. Bhuyan, L. Bhuyan, 2005, GLOBECOM '05. IEEE Global Telecommunications Conference, 2005..

Laxmi N. Bhuyan, Ashwini K. Nanda, Tahsin Askar, 1994, 1994 International Conference on Parallel Processing Vol. 1.

Chita R. Das, Laxmi N. Bhuyan, C. Das, 1986, International Conference on Parallel Processing.

Laxmi N. Bhuyan, Rajiv Gupta, Kishore Kumar Pusukuri, 2014, 2014 23rd International Conference on Parallel Architecture and Compilation (PACT).

Laxmi N. Bhuyan, Madhu Mutyam, Manoranjan Satpathy, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Laxmi N. Bhuyan, Qing Yang, George Thangadurai, 1992, IEEE Trans. Parallel Distributed Syst..

Laxmi N. Bhuyan, 2009, IEEE Trans. Parallel Distributed Syst..

Laxmi N. Bhuyan, Rajiv Gupta, Kishore Kumar Pusukuri, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Laxmi N. Bhuyan, Jun Yang, Yan Luo, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Laxmi N. Bhuyan, Dipak Ghosal, Hong Jiang, 1989, ICPP.

Laxmi N. Bhuyan, Chih-Hsun Chou, Mehmet E. Belviranli, 2013, Architectures for Networking and Communications Systems.

Laxmi N. Bhuyan, Nan Ni, Marius Pirvu, 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.

Laxmi N. Bhuyan, Nan Ni, Marius Pirvu, 2000, Proceedings 14th International Parallel and Distributed Processing Symposium. IPDPS 2000.

Laxmi N. Bhuyan, Jianxun Jason Ding, 1992, [1992] Proceedings of the Fourth IEEE Symposium on Parallel and Distributed Processing.

Laxmi N. Bhuyan, Nan Ni, Marius Pirvu, 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

Laxmi N. Bhuyan, K. K. Ramakrishnan, Sameer G. Kulkarni, 2019, 2019 IEEE Conference on Network Function Virtualization and Software Defined Networks (NFV-SDN).

Laxmi N. Bhuyan, Yan Luo, Xi Chen, 2003, IEEE Trans. Parallel Distributed Syst..

Laxmi N. Bhuyan, K. K. Ramakrishnan, Chih-Hsun Chou, 2018, 2018 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Laxmi N. Bhuyan, Qing Yang, 1990, J. Parallel Distributed Comput..

Laxmi N. Bhuyan, Satya Ranjan Mohanty, 2005, GLOBECOM '05. IEEE Global Telecommunications Conference, 2005..

Laxmi N. Bhuyan, Jianxun Jason Ding, L. Bhuyan, 1994, IEEE Trans. Computers.

Lois M. L. Delcambre, Laxmi N. Bhuyan, Margaret A. Schaar, 1991, [1991] Proceedings. 11th International Conference on Distributed Computing Systems.

Laxmi N. Bhuyan, Rong Ge, Dingwen Tao, 2016, SC16: International Conference for High Performance Computing, Networking, Storage and Analysis.

Laxmi N. Bhuyan, Rabi N. Mahapatra, Marius Pirvu, 2000, Proceedings 2000 International Conference on Computer Design.

Laxmi N. Bhuyan, Guangdeng Liao, 2009, 2009 17th IEEE Symposium on High Performance Interconnects.

Laxmi N. Bhuyan, Rajiv Gupta, Farzad Khorasani, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Laxmi N. Bhuyan, Rong Yu, Ravi R. Iyer, 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.

Dharma P. Agrawal, Laxmi N. Bhuyan, D. Agrawal, 1983, IEEE Transactions on Software Engineering.

Dharma P. Agrawal, Laxmi N. Bhuyan, D. Agrawal, 1983, IEEE Transactions on Computers.

Laxmi N. Bhuyan, Rajiv Gupta, Kishore Kumar Pusukuri, 2013, TACO.

Laxmi N. Bhuyan, Li Zhao, Haiyong Xie, 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).

Laxmi N. Bhuyan, Ravi R. Iyer, Hu-Jun Wang, 2002, J. Syst. Archit..

Laxmi N. Bhuyan, Satya Ranjan Mohanty, 2007, IEEE INFOCOM 2007 - 26th IEEE International Conference on Computer Communications.

Laxmi N. Bhuyan, Rajiv Gupta, Min Feng, 2012, PPoPP '12.

Laxmi N. Bhuyan, Rajiv Gupta, Min Feng, 2014, LCPC.

Laxmi N. Bhuyan, Yan Luo, Li Zhao, 2005, 13th Symposium on High Performance Interconnects (HOTI'05).

Laxmi N. Bhuyan, Yan Luo, Jingnan Yao, 2005, GLOBECOM '05. IEEE Global Telecommunications Conference, 2005..

Laxmi N. Bhuyan, Jingnan Yao, Jiani Guo, 2008, IEEE Transactions on Computers.

Laxmi N. Bhuyan, Jilong Kuang, L. Bhuyan, 2010, 2010 Proceedings IEEE INFOCOM.

Chita R. Das, Laxmi N. Bhuyan, 1985, ICPP.

Fabrizio Lombardi, Laxmi N. Bhuyan, Xiao-Tao Chen, 1995, Proceedings.Seventh IEEE Symposium on Parallel and Distributed Processing.

Laxmi N. Bhuyan, 2007, IEEE Trans. Parallel Distributed Syst..

Laxmi N. Bhuyan, Yeimkuan Chang, 1992, ICPP.

Laxmi N. Bhuyan, Chih-Hsun Chou, Daniel Wong, 2016, ISLPED.

Laxmi N. Bhuyan, L. Bhuyan, 1995, Future Gener. Comput. Syst..

Laxmi N. Bhuyan, Yan Luo, Li Zhao, 2005, ANCS '05.

Laxmi N. Bhuyan, Ashwini K. Nanda, Ravi R. Iyer, 2000, Proceedings 14th International Parallel and Distributed Processing Symposium. IPDPS 2000.

Laxmi N. Bhuyan, Hong Jiang, 1991, ICPP.

Nancy M. Amato, Lawrence Rauchwerger, Laxmi N. Bhuyan, 2004, International Journal of Parallel Programming.

Laxmi N. Bhuyan, Rajiv Gupta, Mehmet E. Belviranli, 2013, TACO.

Laxmi N. Bhuyan, Jingnan Yao, Jianxun Jason Ding, 2008, 2008 Proceedings of 17th International Conference on Computer Communications and Networks.

Chita R. Das, Laxmi N. Bhuyan, C. Das, 1985, ICPP.

Laxmi N. Bhuyan, Marius Pirvu, L. Bhuyan, 2000, ICS '00.

Laxmi N. Bhuyan, 2006, IEEE Trans. Parallel Distributed Syst..

Laxmi N. Bhuyan, Chih-Hsun Chou, L. Bhuyan, 2014, 2014 ACM/IEEE Symposium on Architectures for Networking and Communications Systems (ANCS).

Zhen Liu, Bin Liu, Laxmi N. Bhuyan, 2008, 2008 11th EUROMICRO Conference on Digital System Design Architectures, Methods and Tools.

Laxmi N. Bhuyan, Xiaodong Zhang, 1995 .

Laxmi N. Bhuyan, Jianxun Jason Ding, L. Bhuyan, 1993, 1993 International Conference on Parallel Processing - ICPP'93.

Laxmi N. Bhuyan, Jilong Kuang, 2010, Design Automation Conference.

Michalis Faloutsos, Laxmi N. Bhuyan, Anirban Banerjee, 2008 .

Laxmi N. Bhuyan, 2007, IEEE Trans. Parallel Distributed Syst..

Laxmi N. Bhuyan, Sumon Shahed, Yeimkuan Chang, 1995, ICPP.

Michalis Faloutsos, Laxmi N. Bhuyan, Anirban Banerjee, 2007, Networking.

Laxmi N. Bhuyan, Guangdeng Liao, 2011 .

Laxmi N. Bhuyan, Yi Hu, Min Feng, 2012, J. Parallel Distributed Comput..

Laxmi N. Bhuyan, Yeimkuan Chang, 1993, [1993] Proceedings Seventh International Parallel Processing Symposium.

Tong Liu, Fabrizio Lombardi, Laxmi N. Bhuyan, 1995, ICPP.

Bin Liu, Laxmi N. Bhuyan, Hongbo Zhao, 2012, 2012 IEEE 20th International Workshop on Quality of Service.

Shaolei Ren, Laxmi N. Bhuyan, Chih-Hsun Chou, 2017, 2017 IEEE 37th International Conference on Distributed Computing Systems (ICDCS).

Laxmi N. Bhuyan, Xia Zhu, Guangdeng Liao, 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

Bin Liu, Laxmi N. Bhuyan, H. Jonathan Chao, 2012, IEEE Transactions on Computers.

Laxmi N. Bhuyan, Akhilesh Kumar, Ravishankar R. Iyer, 2001 .

Laxmi N. Bhuyan, 1987, J. Parallel Distributed Comput..

Laxmi N. Bhuyan, Rajiv Gupta, Farzad Khorasani, 2016, 2016 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Laxmi N. Bhuyan, Dipak Ghosal, Uday Choudhury, 1988, SIGMETRICS.

Bin Liu, Laxmi N. Bhuyan, H. Jonathan Chao, 2007, ANCS '07.

Chita R. Das, Laxmi N. Bhuyan, Matthew J. Thazhuthaveetil, 1990, Computer.

Laxmi N. Bhuyan, Ashwini K. Nanda, L. Bhuyan, 1992, Proceedings Supercomputing '92.

Laxmi N. Bhuyan, Akhilesh Kumar, Akhilesh Kumar, 1996, ICS '96.

Laxmi N. Bhuyan, K. K. Ramakrishnan, Liang Zhou, 2019, e-Energy.

Laxmi N. Bhuyan, Rajiv Gupta, Mehmet E. Belviranli, 2015, 2015 International Conference on Parallel Architecture and Compilation (PACT).

Laxmi N. Bhuyan, Jitendriya K. Satapathy, Canapati Panda, 1996, IEA/AIE.

Laxmi N. Bhuyan, Ravi R. Iyer, 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.

Laxmi N. Bhuyan, Rajiv Gupta, Farzad Khorasani, 2015, 2015 International Conference on Parallel Architecture and Compilation (PACT).

Fabrizio Lombardi, Laxmi N. Bhuyan, Chao Feng, 1993, 1993 International Conference on Parallel Processing - ICPP'93.

Laxmi N. Bhuyan, Yeimkuan Chang, 1996, Proceedings of the 1996 ICPP Workshop on Challenges for Parallel Processing.

Laxmi N. Bhuyan, Rajiv Gupta, Kishore Kumar Pusukuri, 2012, TACO.

Laxmi N. Bhuyan, K. K. Ramakrishnan, Liang Zhou, 2019, 2019 IEEE 39th International Conference on Distributed Computing Systems (ICDCS).

Laxmi N. Bhuyan, Akhilesh Kumar, 2001 .

Abdul Waheed, Laxmi N. Bhuyan, Jingnan Yao, 2008, 2008 International Conference on Networking, Architecture, and Storage.

Laxmi N. Bhuyan, Yi Hu, Min Feng, 2010, 2010 Proceedings IEEE INFOCOM.

Laxmi N. Bhuyan, Nan Ni, 2002, IEEE Trans. Computers.

Laxmi N. Bhuyan, Jilong Kuang, 2011, 2011 ACM/IEEE Seventh Symposium on Architectures for Networking and Communications Systems.

Laxmi N. Bhuyan, Jingnan Yao, 2005, GLOBECOM '05. IEEE Global Telecommunications Conference, 2005..

Fabrizio Lombardi, Laxmi N. Bhuyan, Chao Feng, 1993, Proceedings of 1993 5th IEEE Symposium on Parallel and Distributed Processing.

Laxmi N. Bhuyan, L. Bhuyan, 2006, IEEE Trans. Parallel Distributed Syst..

Laxmi N. Bhuyan, Irshad Ahmed, Bao-Chyn Liu, 1989, ICPP.

Satish K. Tripathi, Laxmi N. Bhuyan, Dipak Ghosal, 1989, The 16th Annual International Symposium on Computer Architecture.

Laxmi N. Bhuyan, Raymond Klefstad, Jilong Kuang, 2012, DAC Design Automation Conference 2012.

Laxmi N. Bhuyan, Nan Ni, L. Bhuyan, 2001, Proceedings, International Parallel and Distributed Processing Symposium (IPDPS).

Laxmi N. Bhuyan, K. K. Ramakrishnan, Sameer G. Kulkarni, 2021, CoNEXT.

Laxmi N. Bhuyan, K. K. Ramakrishnan, Sourav Panda, 2021, 2021 IEEE 29th International Conference on Network Protocols (ICNP).

Laxmi N. Bhuyan, B. N. Chatterji, L. Bhuyan, 1981 .

Michalis Faloutsos, Laxmi N. Bhuyan, M. Faloutsos, 2022 .