Rishiyur S. Nikhil

发表

Peter Buneman, Robert E. Frankel, Rishiyur S. Nikhil, 1982, TODS.

Rishiyur S. Nikhil, R. Nikhil, 2013, In Search of Elegance in the Theory and Practice of Computation.

James M. Rehg, Umakishore Ramachandran, Rishiyur S. Nikhil, 1999, ACM/IEEE SC 1999 Conference (SC'99).

Arvind, Arvind, Rishiyur S. Nikhil, 1988 .

Daniel Gajski, Francine Bacchini, Rishiyur S. Nikhil, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Rishiyur S. Nikhil, Mieszko Lis, Michael Pellauer, 2005, Proceedings. Second ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2005. MEMOCODE '05..

James M. Rehg, Robert H. Halstead, Umakishore Ramachandran, 1998, LCPC.

Rishiyur S. Nikhil, R. Nikhil, 2007, Seventh International Conference on Application of Concurrency to System Design (ACSD 2007).

Daniel D. Gajski, Francine Bacchini, Rishiyur S. Nikhil, 2007, DAC '07.

Rishiyur S. Nikhil, R. Nikhil, 1989, The 16th Annual International Symposium on Computer Architecture.

Arvind, Rishiyur S. Nikhil, R. Nikhil, 1990, IEEE Trans. Computers.

Takayasu Ito, Taiichi Yuasa, Rishiyur S. Nikhil, 1992 .

Rishiyur S. Nikhil, 1985, Data Types and Persistence , Informal Proceedings.

Rishiyur S. Nikhil, Shiv Tasker, 2006, VLSI Design.

Rishiyur S. Nikhil, 2010, 2010 IEEE International High Level Design Validation and Test Workshop (HLDVT).

Arvind, Rishiyur S. Nikhil, Jan-Willem Maessen, 1996, FSTTCS.

Rishiyur S. Nikhil, R. Nikhil, 2011, Commun. ACM.

Arvind, Rishiyur S. Nikhil, Nirav Dave, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Rishiyur S. Nikhil, Shail Aditya, R. Nikhil, 1991, FPCA.

Sandeep K. Shukla, Hiren D. Patel, Rishiyur S. Nikhil, 2006, Fourth ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2006. MEMOCODE '06. Proceedings..

James M. Rehg, Umakishore Ramachandran, Rishiyur S. Nikhil, 2003, IEEE Trans. Parallel Distributed Syst..

Rishiyur S. Nikhil, R. Nikhil, 1985, FPCA.

Nikolaos Hardavellas, Sandhya Dwarkadas, Rishiyur S. Nikhil, 1999, Proceedings 13th International Parallel Processing Symposium and 10th Symposium on Parallel and Distributed Processing. IPPS/SPDP 1999.

Arvind, Rishiyur S. Nikhil, Jan-Willem Maessen, 1998, Electron. Notes Theor. Comput. Sci..

Michael L. Heytens, Rishiyur S. Nikhil, 1991, FPCA.

Arvind, Rishiyur S. Nikhil, Paul S. Barth, 1991, FPCA.

Rishiyur S. Nikhil, Shiv Tasker, Waltham Ma, 2006 .

Rishiyur S. Nikhil, R. Nikhil, 2011, ACM Queue.

Arvind, Rishiyur S. Nikhil, R. Nikhil, 1987, IEEE Trans. Computers.

Umakishore Ramachandran, Rishiyur S. Nikhil, R. Nikhil, 2000, PODC '00.

Rishiyur S. Nikhil, R. Nikhil, 1993, LCPC.

James M. Rehg, Umakishore Ramachandran, Rishiyur S. Nikhil, 1999, PPoPP '99.

Rishiyur S. Nikhil, 2019, Commun. ACM.

Arvind, Rishiyur S. Nikhil, 2001 .

Michael L. Heytens, Rishiyur S. Nikhil, 1991, [1991] Proceedings. Seventh International Conference on Data Engineering.

Rishiyur S. Nikhil, R. Nikhil, 1995, PSLS.

Keshav Pingali, Arvind, Rishiyur S. Nikhil, 1986, Graph Reduction.

Keshav Pingali, Arvind, Rishiyur S. Nikhil, 1986, Graph Reduction.

Rishiyur S. Nikhil, 1993, Int. J. High Speed Comput..

Rishiyur S. Nikhil, Umakishore Ramachandran, James M. Rehg, 1999 .

Takayasu Ito, Taiichi Yuasa, Julian Padget, 1992, Parallel Symbolic Computing.

Michael L. Heytens, Rishiyur S. Nikhil, 1989, SGMD.

Peter Buneman, Rishiyur S. Nikhil, R. Nikhil, 1982, On Conceptual Modelling.

Arvind, Rishiyur S. Nikhil, Gregory M. Papadopoulos, 1992, [1992] Proceedings the 19th Annual International Symposium on Computer Architecture.

Arvind, Rishiyur S. Nikhil, R. Nikhil, 2008, 2008 6th ACM/IEEE International Conference on Formal Methods and Models for Co-Design.

Peter Buneman, Robert E. Frankel, Rishiyur S. Nikhil, 1981, FPCA '81.

James M. Rehg, Umakishore Ramachandran, Rishiyur S. Nikhil, 1998, LCR.

Cormac Flanagan, Rishiyur S. Nikhil, R. Nikhil, 1996, ICFP '96.

Rishiyur S. Nikhil, R. Nikhil, 2004, Proceedings. Second ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2004. MEMOCODE '04..

Rishiyur S. Nikhil, Paul E. Green, P. Green, 1986 .

Arvind, Rishiyur S. Nikhil, 2009, SIGD.