Shang-Hsien Yang

发表

Chua-Chin Wang, Shang-Hsien Yang, 2012, 2012 IEEE International Symposium on Circuits and Systems.

Ying-Hsi Lin, Shang-Hsien Yang, Shian-Ru Lin, 2019, ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference (ESSCIRC).

Ke-Horng Chen, Ying-Hsi Lin, Jian-Ru Lin, 2016, 2016 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Ke-Horng Chen, Ying-Hsi Lin, Tsung-Yen Tsai, 2015, IEEE Transactions on Industrial Electronics.

Ke-Horng Chen, Ying-Hsi Lin, Jian-Ru Lin, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

Chua-Chin Wang, Tzung-Je Lee, Ko-Chi Kuo, 2011, 2011 International Symposium on Integrated Circuits.

Ke-Horng Chen, Ying-Hsi Lin, Tsung-Yen Tsai, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Ying-Hsi Lin, Shang-Hsien Yang, Ke-Horng Chen, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

Ying-Zong Juang, Shang-Hsien Yang, Shian-Ru Lin, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

Ying-Hsi Lin, Shang-Hsien Yang, Shian-Ru Lin, 2019, 2019 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Chua-Chin Wang, Shang-Hsien Yang, Yihong Wu, 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

Chua-Chin Wang, Shang-Hsien Yang, 2011, 2011 International SoC Design Conference.

Chua-Chin Wang, Shang-Hsien Yang, 2011, 2011 IEEE International Conference on IC Design & Technology.

Ying-Hsi Lin, Shang-Hsien Yang, Shian-Ru Lin, 2021, IEEE Journal of Emerging and Selected Topics in Power Electronics.

Ke-Horng Chen, Ying-Hsi Lin, Tsung-Yen Tsai, 2014, 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Chua-Chin Wang, Shang-Hsien Yang, Jen-Wei Liu, 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

Ke-Horng Chen, Ying-Hsi Lin, Tsung-Yen Tsai, 2016, IEEE Transactions on Industrial Electronics.

Ke-Horng Chen, Ying-Hsi Lin, Tsung-Yen Tsai, 2016, IEEE Transactions on Power Electronics.

Shao-Qi Chen, Wen-Hau Yang, Ke-Horng Chen, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).