Zheng Wang

发表

Hui Dong, Aleem Siddiqui, Heedeuk Shin, 2014, Defense + Security Symposium.

Chao Chen, Anupam Chattopadhyay, Zheng Wang, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Anupam Chattopadhyay, Zheng Wang, Shazia Kanwal, 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Paul G. Maropoulos, Zheng Wang, A B Forbes, 2014 .

Derong Liu, Ray T. Chen, Zheng Wang, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Anupam Chattopadhyay, Zheng Wang, Renlin Li, 2013, 2013 8th IEEE Design and Test Symposium.

Fiorenzo Franceschini, Paul G. Maropoulos, Luca Mastrogiacomo, 2009 .

Anupam Chattopadhyay, Hui Xie, Zheng Wang, 2013, 2013 4th Annual International Conference on Energy Aware Computing Systems and Applications (ICEAC).

Chao Chen, Anupam Chattopadhyay, Zheng Wang, 2014, GLSVLSI '14.

Jun Wang, Stephen Marshall, Jinchang Ren, 2015, IEEE J. Sel. Top. Appl. Earth Obs. Remote. Sens..

Chao Chen, Anupam Chattopadhyay, Zheng Wang, 2013, International Symposium on Quality Electronic Design (ISQED).

Anupam Chattopadhyay, Zheng Wang, Zoltán Endre Rákossy, 2012, Int. J. Reconfigurable Comput..

Saumitra Chafekar, Anupam Chattopadhyay, Zheng Wang, 2015, 2015 6th Asia Symposium on Quality Electronic Design (ASQED).

Goutam Paul, Anupam Chattopadhyay, Zheng Wang, 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

Zheng Wang, Michael J. Smith, Catherine Zhang, 1997 .

Anupam Chattopadhyay, Takashi Sato, Zheng Wang, 2016, 2016 IEEE 25th Asian Test Symposium (ATS).

Meijun Sun, Zheng Wang, Shiyao Wang, 2014 .

Anupam Chattopadhyay, Zheng Wang, Georgios Karakonstantis, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Andreas Peter Burg, Anupam Chattopadhyay, Zheng Wang, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Huaxi Gu, Zheng Wang, Jiang Xu, 2008, SPIE/OSA/IEEE Asia Communications and Photonics.

Zheng Wang, Bo Li, Hao Han, 2018, Journal of Applied Remote Sensing.

Anupam Chattopadhyay, Zheng Wang, Zoltán Endre Rákossy, 2011, 2011 International Conference on Reconfigurable Computing and FPGAs.

Liu Yang, Anupam Chattopadhyay, Zheng Wang, 2015, Sixteenth International Symposium on Quality Electronic Design.

Mei Wang, Zheng Wang, 2018, 2018 International Symposium on Computer, Consumer and Control (IS3C).

Zhao Zhang, Hui Wang, Zheng Wang, 2019, 2019 IEEE Conference on Multimedia Information Processing and Retrieval (MIPR).

Xiao Wang, Anupam Chattopadhyay, Zheng Wang, 2012, Proceedings of Technical Program of 2012 VLSI Design, Automation and Test.