Swaroop Ghosh

发表

Swaroop Ghosh, Jae-Won Jang, Asmit De, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Swaroop Ghosh, Abdullah Ash-Saki, Mahabubul Alam, 2020, 2020 21st International Symposium on Quality Electronic Design (ISQED).

Swaroop Ghosh, Asmit De, 2017, 2017 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Rajiv V. Joshi, Swaroop Ghosh, Anirudh Iyengar, 2016, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Swaroop Ghosh, Anupam Chattopadhyay, Karthikeyan Nagarajan, 2019, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Swaroop Ghosh, Anirudh Iyengar, Cheng-Wei Lin, 2016, JETC.

Swaroop Ghosh, Rashmi Jha, Mohammad Nasim Imtiaz Khan, 2016, ArXiv.

Swaroop Ghosh, Abdoulaye Gamatié, Philip Brisk, 2019, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Rajiv V. Joshi, Swaroop Ghosh, Xin Li, 2016, IEEE J. Emerg. Sel. Topics Circuits Syst..

Swaroop Ghosh, Jaydeep P. Kulkarni, Seyedhamidreza Motaman, 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

Swaroop Ghosh, Rekha Govindaraj, 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Swaroop Ghosh, Anupam Chattopadhyay, Shivam Bhasin, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Swaroop Ghosh, Mohammad Nasim Imtiaz Khan, Anirudh S. Iyengar, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Swaroop Ghosh, Arijit Raychowdhury, 2005, 11th IEEE International On-Line Testing Symposium.

Swaroop Ghosh, Xin Li, 2017, 2017 IEEE Custom Integrated Circuits Conference (CICC).

Swaroop Ghosh, Debdeep Mukhopadhyay, Wayne P. Burleson, 2019, IEEE Trans. Very Large Scale Integr. Syst..

Kaushik Roy, Swaroop Ghosh, 2008, 2008 Asia and South Pacific Design Automation Conference.

Swaroop Ghosh, Anirudh Iyengar, Mohammad Nasim Imtiaz Khan, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Kaushik Roy, Swaroop Ghosh, Swarup Bhunia, 2007, TODE.

Swaroop Ghosh, Karthikeyan Nagarajan, Mohammad Nasim Imtiaz Khan, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Swaroop Ghosh, Deepak Vontela, Ithihasa Reddy Nirmala, 2018, ISLPED.

Swaroop Ghosh, Anirudh Iyengar, Jae-Won Jang, 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

Narayanan Vijaykrishnan, Meng-Fan Chang, Swaroop Ghosh, 2018, ISLPED.

Swaroop Ghosh, Mahabubul Alam, Yu Xia, 2020 .

Kaushik Roy, Swaroop Ghosh, Swarup Bhunia, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Swaroop Ghosh, Jae-Won Jang, Swaroop Ghosh, 2015, Sixteenth International Symposium on Quality Electronic Design.

Swaroop Ghosh, Swarup Bhunia, Abhishek Basak, 2015, IEEE Design & Test.

Meng-Fan Chang, Swaroop Ghosh, Xueqing Li, 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

Swaroop Ghosh, Abdullah Ash-Saki, Mahabubul Alam, 2020, ISLPED.

Swaroop Ghosh, Swarup Bhunia, Jongsun Park, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Swaroop Ghosh, Jae-Won Jang, Alexander Holst, 2017, 2017 18th International Symposium on Quality Electronic Design (ISQED).

Swaroop Ghosh, Sina Sayyah Ensan, 2019, 2019 International Joint Conference on Neural Networks (IJCNN).

Swaroop Ghosh, Cheng Wei Lin, 2015, 2015 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Swaroop Ghosh, Mahabubul Alam, Yimin Ding, 2019, 2019 IEEE 62nd International Midwest Symposium on Circuits and Systems (MWSCAS).

Swaroop Ghosh, Abdullah Ash-Saki, Mahabubul Alam, 2020, 2020 21st International Symposium on Quality Electronic Design (ISQED).

Jongsun Park, Swaroop Ghosh, Jinil Chung, 2020, IEEE Access.

Swaroop Ghosh, Abdullah Ash-Saki, Mahabubul Alam, 2019, 2019 Device Research Conference (DRC).

Kaushik Roy, Jing Li, Swaroop Ghosh, 2007, 2007 IEEE International Test Conference.

Swaroop Ghosh, Abdullah Ash-Saki, Mahabubul Alam, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Wen-Ben Jone, Sunil R. Das, Swaroop Ghosh, 2004, IEEE Transactions on Instrumentation and Measurement.

Swaroop Ghosh, Asmit De, 2017, 2017 IEEE International Symposium on Technologies for Homeland Security (HST).

Kaushik Roy, Swaroop Ghosh, Patrick Ndai, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Swaroop Ghosh, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Swaroop Ghosh, Swaroop Ghosh, 2011, Low-Power Variation-Tolerant Design in Nanometer Silicon.

Kaushik Roy, Swaroop Ghosh, Swarup Bhunia, 2005, 14th Asian Test Symposium (ATS'05).

Swaroop Ghosh, Seyedhamidreza Motaman, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Swaroop Ghosh, Trent Jaeger, Asmit De, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Swaroop Ghosh, Mohammad Nasim Imtiaz Khan, 2018, ISLPED.

Swaroop Ghosh, Karthikeyan Nagarajan, Mohammad Nasim Imtiaz Khan, 2019, 2019 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Swaroop Ghosh, Jayita Das, 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

Swaroop Ghosh, 2013, 2013 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

Swaroop Ghosh, Abdullah Ash-Saki, Mahabubul Alam, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Swaroop Ghosh, Jongsun Park, Jinil Chung, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Swaroop Ghosh, Abdullah Ash-Saki, Karthikeyan Nagarajan, 2020, 2020 IEEE 63rd International Midwest Symposium on Circuits and Systems (MWSCAS).

Kaushik Roy, Swaroop Ghosh, Patrick Ndai, 2008, 2008 Design, Automation and Test in Europe.

Swaroop Ghosh, Srinivas Katkoori, Rekha Govindaraj, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Swaroop Ghosh, Seyedhamidreza Motaman, Mohammad Nasim Imtiaz Khan, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Swaroop Ghosh, Mahabubul Alam, Abdullah Ash- Saki, 2020, IEEE Transactions on Quantum Engineering.

Kaushik Roy, Swaroop Ghosh, Swarup Bhunia, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Swaroop Ghosh, Anirudh Iyengar, Srikant Srinivasan, 2016, IEEE Design & Test.

Swaroop Ghosh, Anirudh Iyengar, Helia Naeimi, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Swaroop Ghosh, Seyedhamidreza Motaman, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Swaroop Ghosh, Saibal Mukhopadhyay, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Swaroop Ghosh, Mohammad Nasim Imtiaz Khan, 2018, 2018 IEEE International Test Conference (ITC).

Kaushik Roy, Swaroop Ghosh, K. Roy, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Swaroop Ghosh, Asmit De, Anirudh Iyengar, 2018, 2018 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Swaroop Ghosh, Mohammad Nasim Imtiaz Khan, 2020, 2020 IEEE International Test Conference (ITC).

Wen-Ben Jone, Swaroop Ghosh, Shih-Chieh Chang, 2003, IEEE Trans. Reliab..

Swaroop Ghosh, Rekha Govindaraj, Swaroop Ghosh, 2017, ACM J. Emerg. Technol. Comput. Syst..

Kaushik Roy, Swaroop Ghosh, Patrick Ndai, 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

Kaushik Roy, Swaroop Ghosh, K. Roy, 2010, Proceedings of the IEEE.

Swaroop Ghosh, Asmit De, Mohammad Nasim Imtiaz Khan, 2016, ArXiv.

Swaroop Ghosh, Swarup Bhunia, Ruchir Puri, 2015, Proceedings of the IEEE.

Swaroop Ghosh, Anupam Chattopadhyay, Karthikeyan Nagarajan, 2019, 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Swaroop Ghosh, Srinivas Katkoori, Rekha Govindaraj, 2020, IEEE Transactions on Dependable and Secure Computing.

Swaroop Ghosh, Swaroop Ghosh, 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

Swaroop Ghosh, Mohammad Nasim Imtiaz Khan, Abdullah Ash Saki, 2019 .

Swaroop Ghosh, Anupam Chattopadhyay, Abdullah Ash-Saki, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Swaroop Ghosh, Rashmi Jha, Mohammad Nasim Imtiaz Khan, 2018, Sensing of Non-Volatile Memory Demystified.

Swaroop Ghosh, Asmit De, Jongsun Park, 2017, J. Hardw. Syst. Secur..

Swaroop Ghosh, Nilanjan Banerjee, N. Banerjee, 2014, ISLPED 2014.

Swaroop Ghosh, Cheng-Wei Lin, Swaroop Ghosh, 2015, Sixteenth International Symposium on Quality Electronic Design.

Swaroop Ghosh, Asmit De, Jae-Won Jang, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Swaroop Ghosh, Abdullah Ash-Saki, Mahabubul Alam, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Kaushik Roy, Swaroop Ghosh, Saibal Mukhopadhyay, 2006, 2006 IEEE International SOC Conference.

Swaroop Ghosh, Rekha Govindaraj, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Swaroop Ghosh, Seyedhamidreza Motaman, Swaroop Ghosh, 2018, 2018 IEEE 36th International Conference on Computer Design (ICCD).

Swaroop Ghosh, Jaydeep P. Kulkarni, Seyedhamidreza Motaman, 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Swaroop Ghosh, Mahabubul Alam, Sujay S. Hosur, 2019, ACM Great Lakes Symposium on VLSI.

Swaroop Ghosh, Anirudh Iyengar, 2015, ArXiv.

Swaroop Ghosh, Anirudh Iyengar, Swaroop Ghosh, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Swaroop Ghosh, Deepak Vontela, Ithihasa Reddy Nirmala, 2016, 2016 21th IEEE European Test Symposium (ETS).

Swaroop Ghosh, Seyedhamidreza Motaman, Nitin Rathi, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Swaroop Ghosh, S. R. Das, V. Narayanan, 2004, IMTC 2004.

Swaroop Ghosh, Rashmi Jha, Rekha Govindaraj, 2018, IEEE Transactions on Magnetics.

Swaroop Ghosh, Mohammad Nasim Imtiaz Khan, 2018, HASP@ISCA.

Kaushik Roy, Sujit Dey, Swaroop Ghosh, 2009, 2009 22nd International Conference on VLSI Design.

Swaroop Ghosh, Kenneth Ramclam, 2016, J. Low Power Electron..

Swaroop Ghosh, Jongsun Park, Jinil Chung, 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

Swaroop Ghosh, Jongsun Park, Dongyeob Shin, 2019, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Swaroop Ghosh, Mohammad Nasim Imtiaz Khan, Asmit De, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Swaroop Ghosh, Anirudh Iyengar, Seyedhamidreza Motaman, 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Wen-Ben Jone, Swaroop Ghosh, Shih-Chieh Chang, 2004, 13th Asian Test Symposium.

Kaushik Roy, Swaroop Ghosh, Georgios Karakonstantis, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Swaroop Ghosh, Deepakreddy Vontela, 2017, 2017 18th International Symposium on Quality Electronic Design (ISQED).

Swaroop Ghosh, Abdullah Ash-Saki, Mohammad Nasim Imtiaz Khan, 2020, 2020 21st International Symposium on Quality Electronic Design (ISQED).

Swaroop Ghosh, Mohammad Nasim Imtiaz Khan, Swaroop Ghosh, 2018, 2018 IEEE 36th International Conference on Computer Design (ICCD).

Swaroop Ghosh, Wonseok Choi, Jongsun Park, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Swaroop Ghosh, Anirudh Iyengar, Kenneth Ramclam, 2014, 2014 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST).

Swaroop Ghosh, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Swaroop Ghosh, Mohammad Nasim Imtiaz Khan, 2018, 2018 IEEE 36th VLSI Test Symposium (VTS).

Swaroop Ghosh, Mohammad Nasim Imtiaz Khan, 2020, 2020 21st International Symposium on Quality Electronic Design (ISQED).

Swaroop Ghosh, Jaydeep P. Kulkarni, Seyedhamidreza Motaman, 2018, ACM J. Emerg. Technol. Comput. Syst..

Swaroop Ghosh, Umut Arslan, Nabhendra Bisnik, 2015, IEEE Journal of Solid-State Circuits.

Swaroop Ghosh, Rekha Govindaraj, 2016, 2016 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation (SAMOS).

Swaroop Ghosh, Asmit De, Mohammad Nasim Imtiaz Khan, 2020, ArXiv.

Kaushik Roy, Swaroop Ghosh, Patrick Ndai, 2007, 13th IEEE International On-Line Testing Symposium (IOLTS 2007).

Rajiv V. Joshi, Swaroop Ghosh, Xin Li, 2016, IEEE J. Emerg. Sel. Topics Circuits Syst..

Swaroop Ghosh, Junde Li, Mahabubul Alam, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Swaroop Ghosh, Mohammad Nasim Imtiaz Khan, Swaroop Ghosh, 2021, Journal of Low Power Electronics and Applications.

Nikolay V. Dokholyan, Swaroop Ghosh, Junde Li, 2021, ArXiv.

Swaroop Ghosh, Anupam Chattopadhyay, Shivam Bhasin, 2021, Journal of Low Power Electronics and Applications.

Swaroop Ghosh, Asmit De, 2021, ArXiv.

Swaroop Ghosh, Asmit De, Masud H. Chowdhury, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Swaroop Ghosh, Rasit Onur Topaloglu, Abdullah Ash Saki, 2021, IEEE Access.

Swaroop Ghosh, Abdullah Ash-Saki, Rasit Onur Topaloglu, 2021, 2021 IEEE European Test Symposium (ETS).

Swaroop Ghosh, Sina Sayyah Ensan, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Swaroop Ghosh, Abdullah Ash-Saki, Aakarshitha Suresh, 2021, ArXiv.

Nikolay V. Dokholyan, Swaroop Ghosh, Junde Li, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Swaroop Ghosh, Rasit Onur Topaloglu, Abdullah Ash Saki, 2021, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Swaroop Ghosh, Abdullah Ash-Saki, Mahabubul Alam, 2021, 2021 22nd International Symposium on Quality Electronic Design (ISQED).

Swaroop Ghosh, Rasit Onur Topaloglu, Mahabubul Alam, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Swaroop Ghosh, Abdullah Ash-Saki, Rasit Onur Topaloglu, 2021, ArXiv.