Chia-Yu Lin

发表

Wei-Song Wang, Hong-Yi Huang, Shu-Chun Chen, 2011, Sensors.

Chia-Yu Lin, Yi-Hsing Chien, Mong-Kai Ku, 2008, VTC Spring 2008 - IEEE Vehicular Technology Conference.

Li-Chun Wang, Chia-Yu Lin, Yu-Jia Chen, 2013, 2013 IEEE Wireless Communications and Networking Conference (WCNC).

Jia-Chin Lin, Chia-Yu Lin, 2000, 2000 IEEE International Conference on Communications. ICC 2000. Global Convergence Through Communications. Conference Record.

Li-Chun Wang, Bao-Shuh Paul Lin, Chia-Yu Lin, 2014, 2014 IEEE 79th Vehicular Technology Conference (VTC Spring).

Chia-Yu Lin, Mong-Kai Ku, Mong-Kai Ku, 2009, 2009 IEEE International Symposium on Circuits and Systems.

Li-Chun Wang, Chia-Yu Lin, Kun-Hung Tsai, 2014, 2015 IEEE Global Communications Conference (GLOBECOM).

Li-Chun Wang, Chia-Yu Lin, Dusit Niyato, 2021, IEEE Transactions on Computational Social Systems.

Li-Chun Wang, Chia-Yu Lin, 2015, 2015 International Conference on Computing, Networking and Communications (ICNC).

Chi-Hua Chen, Mingyang Pan, Chia-Yu Lin, 2020, WWW.

Chia-Yu Lin, Li-Chun Wang, Kun-Hung Tsai, 2018, IEEE Access.

Li-Chun Wang, Chia-Yu Lin, Yu-Jia Chen, 2013, 2013 12th IEEE International Conference on Trust, Security and Privacy in Computing and Communications.

Ping-Cheng Yeh, I-Wei Lai, Chia-Yu Lin, 2016, 2016 15th International Conference on Information Technology Based Higher Education and Training (ITHET).

Hong-Han Shuai, Li-Chun Wang, Chia-Yu Lin, 2019 .

Shu-Ping Chang, Li-Chun Wang, Chia-Yu Lin, 2022, IEEE Transactions on Emerging Topics in Computing.

Chia-Yu Lin, Po-Tsung Lee, Chia-Yang Tsai, 2018, NanoScience + Engineering.

Li-Chun Wang, Chia-Yu Lin, Kun-Hung Tsai, 2014, GLOBECOM 2014.

Chia-Yu Lin, Pzung-Cheng Liou, 2005 .

Chia-Yu Lin, Mong-Kai Ku, Chih-Chun Wei, 2011, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Li-Chun Wang, Chia-Yu Lin, Yu-Jia Chen, 2013, 2013 IEEE 24th Annual International Symposium on Personal, Indoor, and Mobile Radio Communications (PIMRC).

Chia-Yu Lin, Mong-Kai Ku, Chih-Chun Wei, 2008, APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems.

Chia-Yu Lin, Mong-Kai Ku, Shu-Cheng Chou, 2008, 2008 IEEE International Symposium on Circuits and Systems.

Chia-Yu Lin, Jack Fei Yang, Ting Yi Tsai, 2008 .

Qian Wang, Chia-Yu Lin, Michael Grätzel, 2019, Faraday discussions.

Eugenia Y. Huang, Chia-Yu Lin, E. Huang, 2005, Ind. Manag. Data Syst..

Chia-Yu Lin, Mong-Kai Ku, Shu-Cheng Chou, 2013, Int. J. Commun. Syst..

Kuo-Chuan Ho, Chia-Yu Lin, Yi-Hsuan Lai, 2011, Biosensors & bioelectronics.

Chii-Wann Lin, Kuo-Chuan Ho, Chia-Yu Lin, 2010 .