Robert F. Damiano

发表

Per Bjesse, James H. Kukula, Robert F. Damiano, 2003, SAT.

Robert F. Damiano, David S. Kung, Theresa A. Nix, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

Daniel G. Saab, Jacob A. Abraham, Robert F. Damiano, 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Daniel Brand, Robert F. Damiano, Lukas P. P. P. van Ginneken, 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Narendra V. Shenoy, Kevin Harer, Robert F. Damiano, 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

Luca Benini, Stephen A. Edwards, Yunheung Paek, 2009 .

Francine Bacchini, Robert F. Damiano, Bob Bentley, 2004, DAC '04.

Robert F. Damiano, Len Berman, 1991, [1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Daniel G. Saab, Jacob A. Abraham, Robert F. Damiano, 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Daniel G. Saab, Jacob A. Abraham, Robert F. Damiano, 1993, IEEE Trans. Parallel Distributed Syst..

Robert F. Damiano, Lukas P. P. P. van Ginneken, Robert E. Mains, 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

James H. Kukula, Robert F. Damiano, J. Kukula, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Jiang Long, Valeria Bertacco, Kevin Harer, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Jiang Long, Dong Wang, James H. Kukula, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Robert F. Damiano, Ted Stanion, Hi-Keung Tony Ma, 2002, DAC '02.

Kurt Antreich, James H. Kukula, Robert F. Damiano, 2002, DAC '02.