Bryan Casper

发表

Kaushik Dasgupta, Saeid Daneshgar, Chintan Thakkar, 2017, ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference.

James E. Jaussi, Bryan Casper, Frank O'Mahony, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

Suman Datta, Bryan Casper, Michael N. Kozicki, 2011 .

Shreyas Sen, James E. Jaussi, Bryan Casper, 2014, IEEE Journal of Solid-State Circuits.

James E. Jaussi, Bryan Casper, Joe Kennedy, 2018, 2018 IEEE Symposium on VLSI Circuits.

Bryan Casper, Frank O'Mahony, Tzu-Chien Hsueh, 2015, IEEE Journal of Solid-State Circuits.

Shuhei Yamada, Chintan Thakkar, James E. Jaussi, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

Chintan Thakkar, James E. Jaussi, Bryan Casper, 2018, 2018 IEEE Custom Integrated Circuits Conference (CICC).

Bryan Casper, 2014, 2014 Optical Interconnects Conference.

James E. Jaussi, Bryan Casper, Frank O'Mahony, 2010, 19th Topical Meeting on Electrical Performance of Electronic Packaging and Systems.

Bryan Casper, Hao Li, Ganesh Balamurugan, 2019, 2019 IEEE Optical Interconnects Conference (OI).

Kaushik Dasgupta, Saeid Daneshgar, Chintan Thakkar, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

James E. Jaussi, Bryan Casper, Frank O'Mahony, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

James E. Jaussi, Bryan Casper, Frank O'Mahony, 2010, ISSCC.

Bryan Casper, Frank O'Mahony, Ganesh Balamurugan, 2010, IEEE Journal of Solid-State Circuits.

Bryan Casper, Frank O'Mahony, B. Casper, 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

David J. Allstot, James E. Jaussi, Bryan Casper, 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

James E. Jaussi, Bryan Casper, Frank O'Mahony, 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

Shahriar Mirabbasi, Bryan Casper, Ahmad Sharkia, 2016, IEEE Journal of Selected Topics in Quantum Electronics.

Shuhei Yamada, Chintan Thakkar, Bryan Casper, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

James E. Jaussi, Bryan Casper, Frank O'Mahony, 2008, 2008 IEEE Custom Integrated Circuits Conference.

Jason Howard, Bryan Casper, Shailendra Jain, 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

Bryan Casper, Frank O'Mahony, Tzu-Chien Hsueh, 2014, ESSCIRC 2014 - 40th European Solid State Circuits Conference (ESSCIRC).

James E. Jaussi, Bryan Casper, Joseph T. Kennedy, 2007, 2007 IEEE Custom Integrated Circuits Conference.

Bryan Casper, Ganesh Balamurugan, Hasitha Jayatilleka, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

James E. Jaussi, Bryan Casper, Gaurab Banerjee, 2008, IEEE Journal of Solid-State Circuits.

James E. Jaussi, Bryan Casper, Frank O'Mahony, 2009, 2009 International Symposium on VLSI Design, Automation and Test.

Bryan Casper, B. Casper, 2011, 2011 IEEE Workshop on Microelectronics and Electron Devices.

Joseph Kennedy, Shreyas Sen, Tawfiq Musah, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Bryan Casper, Frank O'Mahony, Mozhgan Mansuri, 2012, 2012 Symposium on VLSI Circuits (VLSIC).

Shuhei Yamada, Kaushik Dasgupta, Saeid Daneshgar, 2018, IEEE Journal of Solid-State Circuits.

Joseph Kennedy, Shreyas Sen, Tawfiq Musah, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Bryan Casper, 2015, IEEE Solid-State Circuits Magazine.

James E. Jaussi, Bryan Casper, Sudip Shekhar, 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

Shuhei Yamada, Kaushik Dasgupta, Saeid Daneshgar, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Shreyas Sen, Chintan Thakkar, James E. Jaussi, 2016, IEEE Journal of Solid-State Circuits.

James E. Jaussi, Bryan Casper, Frank O'Mahony, 2013, IEEE Journal of Solid-State Circuits.

Pavan Kumar Hanumolu, Gu-Yeon Wei, Un-Ku Moon, 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

James E. Jaussi, Bryan Casper, Sudip Shekhar, 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

Hao Li, James E. Jaussi, Bryan Casper, 2018, ESSCIRC 2018 - IEEE 44th European Solid State Circuits Conference (ESSCIRC).

David J. Allstot, James E. Jaussi, Bryan Casper, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

James E. Jaussi, Bryan Casper, Rajesh Inti, 2021, 2021 IEEE Custom Integrated Circuits Conference (CICC).

Masum Hossain, Bryan Casper, Frank O'Mahony, 2010, 2010 Symposium on VLSI Circuits.

James E. Jaussi, Bryan Casper, Sudip Shekhar, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).