Mahdi Nazm Bojnordi

发表

Mahdi Nazm Bojnordi, Payman Behnam, M. N. Bojnordi, 2020 .

Engin Ipek, Mahdi Nazm Bojnordi, Engin Ipek, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Mahmoud Reza Hashemi, Mahdi Nazm Bojnordi, S. Omid Fatemi, 2006, 2006 Canadian Conference on Electrical and Computer Engineering.

Engin Ipek, Xiaochen Guo, Mahdi Nazm Bojnordi, 2018, IEEE Transactions on Computers.

Masoud Dehyadegari, Mahdi Nazm Bojnordi, Morteza Rezaalipour, 2020, IEEE Transactions on Computers.

Mahmoud Reza Hashemi, Mahdi Nazm Bojnordi, S. Omid Fatemi, 2006, 2006 IEEE International Conference on Acoustics Speech and Signal Processing Proceedings.

Mahdi Nazm Bojnordi, Sied Mehdi Fakhraie, Naser Sedaghati-Mokhtari, 2007, 2007 15th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems.

Masoud Dehyadegari, Mahdi Nazm Bojnordi, Morteza Rezaalipour, 2018, ISLPED.

Mahdi Nazm Bojnordi, Payman Behnam, M. N. Bojnordi, 2020, IEEE Transactions on Computers.

Mehdi Semsarzadeh, Mahmoud Reza Hashemi, Mahdi Nazm Bojnordi, 2006, APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems.

Mahmoud Reza Hashemi, Mahdi Nazm Bojnordi, S. Omid Fatemi, 2006, APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems.

Mahmoud Reza Hashemi, Mahdi Nazm Bojnordi, S. Omid Fatemi, 2006, APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems.

Mehdi Semsarzadeh, Ali Afzali-Kusha, Nariman Moezzi Madani, 2006, APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems.

Amin Farmahini Farahani, Mahdi Nazm Bojnordi, Sied Mehdi Fakhraie, 2007, 2007 14th IEEE International Conference on Electronics, Circuits and Systems.

Mahdi Nazm Bojnordi, Payman Behnam, M. N. Bojnordi, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Masoud Dehyadegari, Mahdi Nazm Bojnordi, Morteza Rezaalipour, 2018, 2018 Real-Time and Embedded Systems and Technologies (RTEST).

Engin Ipek, Mahdi Nazm Bojnordi, Yanwei Song, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Mahdi Nazm Bojnordi, Payman Behnam, M. N. Bojnordi, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Eby G. Friedman, Engin Ipek, Mahdi Nazm Bojnordi, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mahdi Nazm Bojnordi, Pranav Kulkarni, Arjun Pal Chowdhury, 2018, Journal of Low Power Electronics and Applications.

Zainalabedin Navabi, Mohammad Hosseinabady, Mahdi Nazm Bojnordi, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Engin Ipek, Mahdi Nazm Bojnordi, Engin Ipek, 2013, IEEE Micro.

Eby G. Friedman, Engin Ipek, Mahdi Nazm Bojnordi, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Engin Ipek, Mahdi Nazm Bojnordi, Engin Ipek, 2017, IEEE Micro.

Mahdi Nazm Bojnordi, Payman Behnam, Naser Sedaghati, 2017, E2SC@SC.

Mahdi Nazm Bojnordi, Payman Behnam, Arjun Pal Chowdhury, 2018, 2018 IEEE 36th International Conference on Computer Design (ICCD).

Farshad Firouzi, Bahar Farahani, Mahdi Nazm Bojnordi, 2020 .

Masoud Dehyadegari, Mahdi Nazm Bojnordi, Morteza Rezaalipour, 2020 .

Engin Ipek, Mahdi Nazm Bojnordi, Shibo Wang, 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Mahdi Nazm Bojnordi, Yomi Karthik Rupesh, 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Mahdi Nazm Bojnordi, Sied Mehdi Fakhraie, Hamid Reza Mahdiani, 2018, ArXiv.

Engin Ipek, Mahdi Nazm Bojnordi, Engin Ipek, 2017, 2017 Fifth Berkeley Symposium on Energy Efficient Electronic Systems & Steep Transistors Workshop (E3S).

Engin Ipek, Mahdi Nazm Bojnordi, Engin Ipek, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Mahdi Nazm Bojnordi, Farhan Nasrullah, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Mahdi Nazm Bojnordi, 2011, Encyclopedia of Parallel Computing.

Masoud Dehyadegari, Mahdi Nazm Bojnordi, Morteza Rezaalipour, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Hang Liu, Xuehai Qian, Xiaolong Ma, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Xiaolong Ma, Mahdi Nazm Bojnordi, Payman Behnam, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Masoud Dehyadegari, Hoda Roodaki, Mahdi Nazm Bojnordi, 2021, ICASSP 2021 - 2021 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Mahdi Nazm Bojnordi, Ananth Krishna Prasad, 2021, ArXiv.

Hoda Roodaki, Mahdi Nazm Bojnordi, M. N. Bojnordi, 2021, IEEE Transactions on Multimedia.

Zainalabedin Navabi, Mohammad Hosseinabady, Mahdi Nazm Bojnordi, 2006 .