Vivek Chickermane

发表

Vivek Chickermane, Brian Foutz, Brion L. Keller, 2004, 2004 International Conferce on Test.

Jeongho Cho, Vivek Chickermane, Brion L. Keller, 2013, 2013 IEEE International Test Conference (ITC).

Vivek Chickermane, Brian Foutz, Brion L. Keller, 2014, 2014 International Test Conference.

Vivek Chickermane, Brion L. Keller, Krishna Chakravadhanula, 2009, 2009 Asian Test Symposium.

Vivek Chickermane, Krishna Chakravadhanula, 2009, IEEE Design & Test of Computers.

Vivek Chickermane, Shambhu J. Upadhyaya, Kamran Zarrineh, 2001, IEEE Des. Test Comput..

Vivek Chickermane, Brian Foutz, Krishna Chakravadhanula, 2019, 2019 IEEE International Test Conference (ITC).

Janak H. Patel, Vivek Chickermane, Sungho Kim, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

Mario H. Konijnenburg, Vivek Chickermane, Brion L. Keller, 2011, 2011 Asian Test Symposium.

Elizabeth M. Rudnick, Janak H. Patel, Vivek Chickermane, 1993, 30th ACM/IEEE Design Automation Conference.

Vivek Chickermane, Kamran Zarrineh, 1997, Proceedings International Test Conference 1997.

Vivek Chickermane, Brion L. Keller, Erik Jan Marinissen, 2012, 2012 IEEE International Test Conference.

Vivek Chickermane, Peilin Song, Stefano Di Carlo, 2020, IEEE Des. Test.

Vivek Chickermane, Brion Keller, Brian Edward Foutz, 2004 .

Irith Pomeranz, Vivek Chickermane, Srikanth Venkataraman, 2019, 2019 IEEE 37th VLSI Test Symposium (VTS).

Vivek Chickermane, Patrick R. Gallagher, Steven Gregor, 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

Elizabeth M. Rudnick, Janak H. Patel, Vivek Chickermane, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Vivek Chickermane, Subhasish Mukherjee, Krishna Chakravadhanula, 2013, 2013 IEEE International Test Conference (ITC).

Vivek Chickermane, Brian Foutz, David Scott, 2017, 2017 IEEE International Test Conference (ITC).

Vivek Chickermane, Brion L. Keller, Anis Uzzaman, 2005, 14th Asian Test Symposium (ATS'05).

Janak H. Patel, Vivek Chickermane, Jaushin Lee, 1992, Proceedings International Test Conference 1992.

Janak H. Patel, Vivek Chickermane, Jaushin Lee, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Janak H. Patel, Vivek Chickermane, J. Patel, 1990, Proceedings. International Test Conference 1990.

Michael S. Hsiao, Irith Pomeranz, Nur A. Touba, 2006, WOWMOM.

Vivek Chickermane, Brion L. Keller, Krishna Chakravadhanula, 2008, 2008 17th Asian Test Symposium.

Nilanjan Mukherjee, Wei Zhao, Vivek Chickermane, 2014, 2014 IEEE 32nd VLSI Test Symposium (VTS).

Mario Konijnenburg, Vivek Chickermane, Erik Jan Marinissen, 2019 .

Vivek Chickermane, Brion L. Keller, Anis Uzzaman, 2005, 14th Asian Test Symposium (ATS'05).

Janak H. Patel, Vivek Chickermane, 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

Vivek Chickermane, Brion L. Keller, Erik Jan Marinissen, 2015, 2015 IEEE 24th Asian Test Symposium (ATS).

Vivek Chickermane, Brion L. Keller, Krishna Chakravadhanula, 2009, 2009 International Test Conference.

Vivek Chickermane, Krishna Chakravadhanula, James Sage, 2008, 2008 IEEE International Test Conference.

Vivek Chickermane, Ravi Nair, Ray Chamberlain, 1991, Proceedings of the European Conference on Design Automation..

Abhijit Chatterjee, Kenneth M. Butler, Cecilia Metra, 2008 .

Vivek Chickermane, Gareth Nicholls, Kamran Zarrineh, 1996, Proceedings International Conference on Computer Design. VLSI in Computers and Processors.

Vivek Chickermane, Brian Foutz, Brion L. Keller, 2010, 2010 IEEE International Test Conference.

Elizabeth M. Rudnick, Janak H. Patel, Vivek Chickermane, 1995, IEEE Trans. Very Large Scale Integr. Syst..

Vivek Chickermane, Brion Keller, M. Tegethoff, 2004 .

Vivek Chickermane, Brion L. Keller, Erik Jan Marinissen, 2013, 2013 18th IEEE European Test Symposium (ETS).

Vivek Chickermane, Krishna Chakravadhanula, Sameer Chillarige, 2015, 2015 IEEE 24th North Atlantic Test Workshop.

Janak H. Patel, Vivek Chickermane, Jaushin Lee, 1993, Digest of Papers Eleventh Annual 1993 IEEE VLSI Test Symposium.

Vivek Chickermane, Brion L. Keller, Mick Tegethoff, 2004, 2004 International Conferce on Test.

Srivaths Ravi, Vivek Chickermane, Krishna Chakravadhanula, 2014, VLSI Design.

Vivek Chickermane, Brion L. Keller, Erik Jan Marinissen, 2015, IEEE Design & Test.