Mithuna Thottethodi

发表

DaeHo Seo, Akif Ali, Won-Taek Lim, 2005, ISCA 2005.

Akif Ali, Won-Taek Lim, Nauman Rafique, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

T. N. Vijaykumar, Tariq Mahmood, Mithuna Thottethodi, 2021, IEEE Transactions on Cloud Computing.

Seyong Lee, T. N. Vijaykumar, Mithuna Thottethodi, 2013, J. Parallel Distributed Comput..

Mithuna Thottethodi, Shubhendu S. Mukherjee, Alvin R. Lebeck, 2002 .

T. N. Vijaykumar, Syed Ali Raza Jafri, Mithuna Thottethodi, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

T. N. Vijaykumar, Mithuna Thottethodi, Nitin, 2018, 2018 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

T. N. Vijaykumar, Mithuna Thottethodi, Ahmed M. Amin, 2008, PLDI '08.

Nauman Rafique, Mithuna Thottethodi, 2008 .

Shubu Mukherjee, Mithuna Thottethodi, 2014, IEEE Micro.

Won-Taek Lim, Nauman Rafique, Mithuna Thottethodi, 2007, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007).

Vijay Gopalakrishnan, T. N. Vijaykumar, Yun Seong Nam, 2017, MobiCom.

Chia-Lin Yang, Mithuna Thottethodi, Alvin R. Lebeck, 1999, Euro-Par.

T. N. Vijaykumar, Mithuna Thottethodi, Hamza Bin Sohail, 2011 .

T. N. Vijaykumar, Mithuna Thottethodi, Ahmed M. Amin, 2007, ISCA '07.

T. N. Vijaykumar, Mithuna Thottethodi, Noah Chesnut, 2019, MICRO.

T. N. Vijaykumar, Syed Ali Raza Jafri, Mithuna Thottethodi, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Mithuna Thottethodi, Timothy Pritchett, 2010, ISCA '10.

Mithuna Thottethodi, Ahmed H. Abdel-Gawad, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Lizy Kurian John, Deepak Majeti, Bibek Ghimire, 2016, SC16: International Conference for High Performance Computing, Networking, Storage and Analysis.

Won-Taek Lim, Nauman Rafique, Mithuna Thottethodi, 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Mithuna Thottethodi, Siddhartha Chatterjee, Alvin R. Lebeck, 1999, ICS '99.

Mithuna Thottethodi, Siddhartha Chatterjee, Alvin R. Lebeck, 1998, Proceedings of the IEEE/ACM SC98 Conference.

Kaushik Roy, Swaroop Ghosh, Patrick Ndai, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mithuna Thottethodi, Shubhendu S. Mukherjee, Alvin R. Lebeck, 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

Abhinav Bhatele, Mithuna Thottethodi, Ahmed H. Abdel-Gawad, 2014, SC14: International Conference for High Performance Computing, Networking, Storage and Analysis.

Mithuna Thottethodi, Jiachen Xue, Yu-Ju Hong, 2011, SIGMETRICS.

Mithuna Thottethodi, Daeho Seo, 2009, 2009 IEEE International Symposium on Parallel & Distributed Processing.

Tariq Mahmood, Mithuna Thottethodi, Bharath Balasubramanian, 2018, 2018 IEEE 11th International Conference on Cloud Computing (CLOUD).

Mithuna Thottethodi, Shubhendu S. Mukherjee, Alvin R. Lebeck, 2004, IEEE Transactions on Parallel and Distributed Systems.

T. N. Vijaykumar, Mithuna Thottethodi, Ejebagom John Ojogbo, 2020, CGO.

T. N. Vijaykumar, Mithuna Thottethodi, Jiachen Xue, 2020, ACM Trans. Archit. Code Optim..

T. N. Vijaykumar, Mithuna Thottethodi, Muhammad Usama Chaudhry, 2018, SIGCOMM Posters and Demos.

Mithuna Thottethodi, Siddhartha Chatterjee, Alvin R. Lebeck, 1999, SPAA '99.

T. N. Vijaykumar, Mithuna Thottethodi, Steven T. Wereley, 2008 .

T. N. Vijaykumar, Syed Ali Raza Jafri, Mithuna Thottethodi, 2013 .

Mithuna Thottethodi, Vijay S. Pai, Eric P. Villasenor, 2014, 2014 30th Symposium on Mass Storage Systems and Technologies (MSST).

Mithuna Thottethodi, Jiachen Xue, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

T. N. Vijaykumar, Milind Kulkarni, Mithuna Thottethodi, 2017, 2017 International Conference on Cloud and Autonomic Computing (ICCAC).

T. N. Vijaykumar, Mithuna Thottethodi, Mingxuan He, 2020, ArXiv.

Nitin, T. N. Vijaykumar, Mithuna Thottethodi, 2015 .

Seyong Lee, Faraz Ahmad, T. N. Vijaykumar, 2012 .

Mithuna Thottethodi, Han-Sheng Chuang, Steven T Wereley, 2013, Microfluidics and nanofluidics.

T. N. Vijaykumar, Mithuna Thottethodi, Il Park, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

T. N. Vijaykumar, Mithuna Thottethodi, Muhammad Usama Chaudhry, 2018, IEEE/ACM Transactions on Networking.

Mark C. Johnson, Mithuna Thottethodi, Eric P. Villasenor, 2009, 2009 IEEE International Conference on Microelectronic Systems Education.

Mithuna Thottethodi, Ahmed H. Abdel-Gawad, 2016, 2016 IEEE 24th Annual Symposium on High-Performance Interconnects (HOTI).

Mithuna Thottethodi, Jiachen Xue, Yu-Ju Hong, 2012, 2012 IEEE International Symposium on Performance Analysis of Systems & Software.

Nitin, T. N. Vijaykumar, Mithuna Thottethodi, 2016 .

T. N. Vijaykumar, Mithuna Thottethodi, Shankaranarayanan Puzhavakath Narayanan, 2016 .

Mithuna Thottethodi, Shubhendu S. Mukherjee, Alvin R. Lebeck, 2003, Proceedings International Parallel and Distributed Processing Symposium.

T. N. Vijaykumar, Syed Ali Raza Jafri, Mithuna Thottethodi, 2013 .

Mithuna Thottethodi, Daeho Seo, Eric P. Villasenor, 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

Gabriel H. Loh, Hyesoon Kim, Mithuna Thottethodi, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Mithuna Thottethodi, Siddhartha Chatterjee, Alvin R. Lebeck, 2002, IEEE Trans. Parallel Distributed Syst..

Mithuna Thottethodi, Daeho Seo, 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

T. N. Vijaykumar, Mithuna Thottethodi, Ashish Gondimalla, 2021, ArXiv.

T. N. Vijaykumar, Mithuna Thottethodi, Ashish Gondimalla, 2021, ArXiv.

T. N. Vijaykumar, Mithuna Thottethodi, Sree Charan Gundabolu, 2021, SC.