Lieven Eeckhout

发表

James E. Smith, Stijn Eyerman, Lieven Eeckhout, 2005 .

Lieven Eeckhout, Koen De Bosschere, Henk Neefs, 2000, Proceedings 5th Australasian Computer Architecture Conference. ACAC 2000 (Cat. No.PR00512).

Lieven Eeckhout, Vicent Selfa, Julio Sahuquillo, 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Avi Mendelson, Chengzhong Xu, Lieven Eeckhout, 2018, IEEE Transactions on Parallel and Distributed Systems.

Lieven Eeckhout, 2018, IEEE Micro.

Lieven Eeckhout, Koen De Bosschere, L. Eeckhout, 2004, IEEE International Symposium on - ISPASS Performance Analysis of Systems and Software, 2004.

Lieven Eeckhout, Kathryn S. McKinley, Stephen M. Blackburn, 2014, 2014 23rd International Conference on Parallel Architecture and Compilation (PACT).

Lieven Eeckhout, Almutaz Adileh, Cecilia González-Alvarez, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Lieven Eeckhout, Koen De Bosschere, L. Eeckhout, 2003, J. Syst. Archit..

Lieven Eeckhout, Koen De Bosschere, Luk Van Ertvelde, 2006, 39th Annual Simulation Symposium (ANSS'06).

Nikos Nikoleris, Erik Hagersten, Lieven Eeckhout, 2019, MICRO.

Lieven Eeckhout, 2018, IEEE Micro.

Lieven Eeckhout, Xia Zhao, Almutaz Adileh, 2017, IEEE Computer Architecture Letters.

Stijn Eyerman, Lieven Eeckhout, Koen De Bosschere, 2003 .

Stijn Eyerman, Lieven Eeckhout, Aamer Jaleel, 2016, ACM Trans. Archit. Code Optim..

Lieven Eeckhout, 2015, IEEE Micro.

Lieven Eeckhout, Jennifer B. Sartor, 2012, OOPSLA '12.

Lieven Eeckhout, Andy Georges, 2010, HiPC 2010.

Lieven Eeckhout, Daniel Jiménez-González, Carlos Álvarez, 2013, TACO.

Lieven Eeckhout, Juan Hamers, L. Eeckhout, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Lieven Eeckhout, Frederick Ryckbosch, Stijn Polfliet, 2011, Computer.

Lieven Eeckhout, Magnus Jahre, L. Eeckhout, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Stijn Eyerman, Lieven Eeckhout, Aamer Jaleel, 2017, IEEE Computer Architecture Letters.

Stijn Eyerman, Lieven Eeckhout, Zheng Li, 2011, 2011 IEEE 9th Symposium on Application Specific Processors (SASP).

Stijn Eyerman, Lieven Eeckhout, 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

Lieven Eeckhout, Lizy Kurian John, Yue Luo, 2005, International Journal of Parallel Programming.

Lieven Eeckhout, Koen De Bosschere, Kris Venstermans, 2005 .

Lieven Eeckhout, Koen De Bosschere, Veerle Desmet, 2004 .

Stijn Eyerman, Lieven Eeckhout, Osman Allam, 2012, GLSVLSI '12.

Lieven Eeckhout, Brad Calder, Michael Van Biesbrouck, 2007, 2007 IEEE 10th International Symposium on Workload Characterization.

Lieven Eeckhout, Andy Georges, Koen De Bosschere, 2003, OOPSLA 2003.

Lieven Eeckhout, Koen De Bosschere, Jonas Maebe, 2006, OOPSLA '06.

Lieven Eeckhout, Koen De Bosschere, L. Eeckhout, 2004, Simul..

Lieven Eeckhout, Koen De Bosschere, Smaïl Niar, 2003, PDPTA.

Lieven Eeckhout, 2017, IEEE Micro.

Lieven Eeckhout, Shoaib Akram, Sander De Pestel, 2018, IEEE Computer Architecture Letters.

Lieven Eeckhout, Hendrik Blockeel, Kenneth Hoste, 2007, SIGMETRICS '07.

Lieven Eeckhout, Andy Georges, Dries Buytaert, 2008, OOPSLA.

Lieven Eeckhout, Trevor E. Carlson, Wim Heirman, 2013, Parallel Tools Workshop.

Paul Brebner, Stéphane Frénot, Lieven Eeckhout, 2005, Concurr. Comput. Pract. Exp..

Lieven Eeckhout, Koen De Bosschere, Lizy Kurian John, 2005, Comput. J..

Lieven Eeckhout, Luk Van Ertvelde, L. Eeckhout, 2010, IEEE Micro.

Stijn Eyerman, Lieven Eeckhout, Maximilien Breughe, 2014, ACM Trans. Archit. Code Optim..

Lieven Eeckhout, Lizy K. John, L. Eeckhout, 2005 .

Lieven Eeckhout, Aamer Jaleel, Paolo Narváez, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Lieven Eeckhout, Koen De Bosschere, Hans Vandierendonck, 2003, J. Instr. Level Parallelism.

Lieven Eeckhout, Koen De Bosschere, Andy Georges, 2005, Asia-Pacific Computer Systems Architecture Conference.

Lu Wang, Lieven Eeckhout, Xia Zhao, 2018, 2018 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Lieven Eeckhout, Josué Feliu, Almutaz Adileh, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Lieven Eeckhout, Tim Schaeps, Kristof Du Bois, 2011, HiPEAC.

Lieven Eeckhout, Ayose Falcón, Wim Heirman, 2016, J. Parallel Distributed Comput..

Lieven Eeckhout, 2017, IEEE Micro.

Stijn Eyerman, Lieven Eeckhout, Ajeya Naithani, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Lieven Eeckhout, Sheng Ma, Natalie Enright Jerger, 2019, IEEE Transactions on Computers.

Lieven Eeckhout, Koen De Bosschere, Davy Genbrugge, 2006 .

Stijn Eyerman, Lieven Eeckhout, Kenzo Van Craeynest, 2008, HiPEAC.

Jing Wang, Chengzhong Xu, Lieven Eeckhout, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Lieven Eeckhout, Koen De Bosschere, Smaïl Niar, 2005, J. Syst. Archit..

Lieven Eeckhout, Koen De Bosschere, Andy Georges, 2003, OOPSLA.

Lieven Eeckhout, Koen De Bosschere, Andy Georges, 2004, OOPSLA.

Lieven Eeckhout, Lu Wang, Magnus Jahre, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Lieven Eeckhout, Brad Calder, M. Van Biesbrouck, 2006, IEEE Micro.

Lieven Eeckhout, Jennifer B. Sartor, Shoaib Akram, 2016, 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Lieven Eeckhout, Koen De Bosschere, Andy Georges, 2003 .

Lieven Eeckhout, Koen De Bosschere, Davy Genbrugge, 2006 .

Lieven Eeckhout, Jennifer B. Sartor, Shoaib Akram, 2017, IEEE Transactions on Computers.

Lieven Eeckhout, 2018, IEEE Micro.

Stijn Eyerman, Lieven Eeckhout, Koen De Bosschere, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Lieven Eeckhout, Trevor E. Carlson, Wim Heirman, 2011, Euro-Par Workshops.

Stijn Eyerman, Lieven Eeckhout, Kristof Du Bois, 2013, TACO.

Stijn Eyerman, Lieven Eeckhout, Lizy Kurian John, 2015, TOCS.

Stijn Eyerman, Lieven Eeckhout, Julio Sahuquillo, 2017, IEEE Transactions on Parallel and Distributed Systems.

Lieven Eeckhout, Brad Calder, Michael Van Biesbrouck, 2005, HiPEAC.

Stijn Eyerman, Lieven Eeckhout, 2014, IEEE Computer Architecture Letters.

Lieven Eeckhout, Jennifer B. Sartor, Wim Heirman, 2016, ACM Trans. Archit. Code Optim..

Lieven Eeckhout, Hendrik Blockeel, Andy Georges, 2011, ILP.

Lieven Eeckhout, Koen De Bosschere, Dirk Stroobandt, 2003, 36th Annual Simulation Symposium, 2003..

Lieven Eeckhout, Frederik Vandeputte, 2011, Trans. High Perform. Embed. Archit. Compil..

Lieven Eeckhout, Daniel Jiménez-González, Jennifer B. Sartor, 2015 .

Lieven Eeckhout, Kathryn S. McKinley, Jennifer B. Sartor, 2018, Programming.

Lieven Eeckhout, Souradip Sarkar, Trevor E. Carlson, 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

Paul Brebner, Stéphane Frénot, Lieven Eeckhout, 2005 .

Lieven Eeckhout, Koen De Bosschere, Henk Neefs, 2000, J. Syst. Archit..

Lieven Eeckhout, Koen De Bosschere, Kris Venstermans, 2006, Softw. Pract. Exp..

Lieven Eeckhout, Koen De Bosschere, Andy Georges, 2005 .

Lieven Eeckhout, Olivier Temam, Yang Chen, 2012, IEEE Micro.

Lieven Eeckhout, Koen De Bosschere, Kris Venstermans, 2007, Trans. High Perform. Embed. Archit. Compil..

Lieven Eeckhout, Koen De Bosschere, Frederik Vandeputte, 2006 .

Lieven Eeckhout, Koen De Bosschere, 2001, 2001 IEEE International Symposium on Performance Analysis of Systems and Software. ISPASS..

Lieven Eeckhout, Cheng-Zhong Xu, Zhibin Yu, 2016, IEEE Transactions on Parallel and Distributed Systems.

David Black-Schaffer, Erik Hagersten, Stijn Eyerman, 2016, IEEE Transactions on Computers.

Lieven Eeckhout, Koen De Bosschere, Kris Venstermans, 2005, HiPEAC.

Stijn Eyerman, Lieven Eeckhout, Ajeya Naithani, 2018, IEEE Transactions on Computers.

Lieven Eeckhout, Koen De Bosschere, 2001, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques.

Lieven Eeckhout, Trevor E. Carlson, Ayose Falcón, 2015, Conf. Computing Frontiers.

Lieven Eeckhout, Koen De Bosschere, Frederik Vandeputte, 2007, J. Syst. Archit..

James E. Smith, Stijn Eyerman, Lieven Eeckhout, 2006, ASPLOS XII.

Lieven Eeckhout, 2016, IEEE Micro.

Stijn Eyerman, Lieven Eeckhout, 2010, IEEE Micro.

Lieven Eeckhout, Kathryn S. McKinley, Jennifer B. Sartor, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Stijn Eyerman, Lieven Eeckhout, 2009, ASPLOS 2009.

Lieven Eeckhout, David Kaeli, Xia Zhao, 2019, IEEE Transactions on Computers.

Lieven Eeckhout, 2017, IEEE Micro.

Lieven Eeckhout, Koen De Bosschere, Frederik Vandeputte, 2005, SAMOS.

Lieven Eeckhout, 2018, IEEE Micro.

Yingwei Luo, Xiaolin Wang, Lieven Eeckhout, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Lieven Eeckhout, Koen De Bosschere, Andy Georges, 2004 .

Lieven Eeckhout, Koen De Bosschere, Juan Hamers, 2007, MMM.

Lieven Eeckhout, Luk Van Ertvelde, Filip Hellebaut, 2008, Comput. J..

Lieven Eeckhout, L. Eeckhout, 2017, IEEE Micro.

Lieven Eeckhout, Koen De Bosschere, Davy Genbrugge, 2005 .

Lieven Eeckhout, L. Eeckhout, 2008, Adv. Comput..

Stijn Eyerman, Lieven Eeckhout, Jennifer B. Sartor, 2017, 2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Lieven Eeckhout, Olivier Temam, Grigori Fursin, 2010, PLDI '10.

Lieven Eeckhout, Souradip Sarkar, Trevor E. Carlson, 2012 .

Lieven Eeckhout, Aamer Jaleel, Zhibin Yu, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

James E. Smith, Stijn Eyerman, Lieven Eeckhout, 2007, IEEE Micro.

Lieven Eeckhout, Lizy K. John, 2018 .

Lieven Eeckhout, David J. Lilja, Ajay Joshi, 2006 .

Lieven Eeckhout, Cheng-Zhong Xu, Zhibin Yu, 2016, 2016 45th International Conference on Parallel Processing (ICPP).

Lieven Eeckhout, Koen De Bosschere, Jonas Maebe, 2006, OOPSLA '06.

Lieven Eeckhout, Kathryn S. McKinley, Jennifer B. Sartor, 2019, Abstracts of the 2019 SIGMETRICS/Performance Joint International Conference on Measurement and Modeling of Computer Systems.

Stijn Eyerman, Lieven Eeckhout, Stijn Eyerman, 2008, IEEE Micro.

Lieven Eeckhout, Trevor E. Carlson, Wim Heirman, 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Lieven Eeckhout, Shoaib Akram, Sam Van den Steen, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Lieven Eeckhout, Koen De Bosschere, Kris Venstermans, 2006, International Symposium on Code Generation and Optimization (CGO'06).

Lieven Eeckhout, Lizy Kurian John, Ajay Joshi, 2006, IEEE Transactions on Computers.

Lieven Eeckhout, Lizy K. John, 2018 .

Lieven Eeckhout, Olivier Temam, Yang Chen, 2015, ACM Trans. Archit. Code Optim..

Peter Lambert, Rik Van de Walle, Lieven Eeckhout, 2004, IS&T/SPIE Electronic Imaging.

George K. Thiruvathukal, Nathan Ensmenger, Jin-Fu Chang, 2015 .

Lieven Eeckhout, 2018, IEEE Micro.

Lieven Eeckhout, Luk Van Ertvelde, L. Eeckhout, 2008, ASPLOS.

Lieven Eeckhout, L. Eeckhout, 2017, IEEE Micro.

Lieven Eeckhout, Aamer Jaleel, Wim Heirman, 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.

Lieven Eeckhout, Koen De Bosschere, Frederik Vandeputte, 2005, Euro-Par.

Lieven Eeckhout, Juan Hamers, L. Eeckhout, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Andreas Zeller, Matthias Hauswirth, Amer Diwan, 2016, ACM Trans. Program. Lang. Syst..

Lieven Eeckhout, Andy Georges, Kenneth Hoste, 2010, CGO '10.

James E. Smith, Stijn Eyerman, Lieven Eeckhout, 2006, 2006 IEEE International Symposium on Performance Analysis of Systems and Software.

Lieven Eeckhout, Koen De Bosschere, Veerle Desmet, 2003 .

Yingwei Luo, Xiaolin Wang, Lieven Eeckhout, 2016, ICS.

Lieven Eeckhout, Kathryn S. McKinley, Jennifer B. Sartor, 2018, ArXiv.

Lieven Eeckhout, Kenneth Hoste, L. Eeckhout, 2007, IEEE Micro.

Lieven Eeckhout, Lizy Kurian John, Ajay Joshi, 2008, TACO.

Lieven Eeckhout, Koen De Bosschere, Kris Venstermans, 2007, ECOOP.

Lieven Eeckhout, Daniel Jiménez-González, Jennifer B. Sartor, 2016, TACO.

Lieven Eeckhout, Olivier Temam, Yang Chen, 2012, ASPLOS XVII.

Lieven Eeckhout, Trevor E. Carlson, Wim Heirman, 2011, 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

Hai Jin, Lieven Eeckhout, Cheng-Zhong Xu, 2015, ACM Trans. Archit. Code Optim..

Lieven Eeckhout, Lizy Kurian John, Ajay Joshi, 2005, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005..

Lieven Eeckhout, Lizy Kurian John, Ajay M. Joshi, 2007, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007).

Lieven Eeckhout, L. Eeckhout, 2010, Computer Architecture Performance Evaluation Methods.

Lieven Eeckhout, Luk Van Ertvelde, 2007 .

James E. Smith, Lieven Eeckhout, Koen De Bosschere, 2003, IEEE Micro.

James E. Smith, Lieven Eeckhout, Lizy Kurian John, 2006, Computer.

Lieven Eeckhout, Henk Neefs, Jan Van Campenhout, 1998 .

Lieven Eeckhout, 2015, IEEE Micro.

Lieven Eeckhout, Xia Zhao, Sheng Ma, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Lieven Eeckhout, Koen De Bosschere, Henk Neefs, 1999, Proceedings 25th EUROMICRO Conference. Informatics: Theory and Practice for the New Millennium.

Lieven Eeckhout, Luk Van Ertvelde, 2010 .

Lieven Eeckhout, Frederik Vandeputte, L. Eeckhout, 2011, Trans. High Perform. Embed. Archit. Compil..

Lieven Eeckhout, Ayose Falcón, Wim Heirman, 2017, J. Parallel Distributed Comput..

Stijn Eyerman, Lieven Eeckhout, Davy Genbrugge, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

Stijn Eyerman, Lieven Eeckhout, Sander De Pestel, 2015, 2015 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Lieven Eeckhout, 2016, IEEE Micro.

Lieven Eeckhout, Koen De Bosschere, Veerle Desmet, 2005, Asia-Pacific Computer Systems Architecture Conference.

Lieven Eeckhout, Magnus Jahre, Almutaz Adileh, 2019, IEEE Computer Architecture Letters.

Lieven Eeckhout, Magnus Jahre, Xia Zhao, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Lieven Eeckhout, Koen De Bosschere, Hans Vandierendonck, 2002, Proceedings.International Conference on Parallel Architectures and Compilation Techniques.

Lieven Eeckhout, Magnus Jahre, Xia Zhao, 2020, ASPLOS.

Stijn Eyerman, Lieven Eeckhout, Stijn Eyerman, 2011, TACO.

Lieven Eeckhout, Koen De Bosschere, Andy Georges, 2004 .

Lieven Eeckhout, Aamer Jaleel, Trevor E. Carlson, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

James E. Smith, Stijn Eyerman, Lieven Eeckhout, 2007, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007).

Stijn Eyerman, Lieven Eeckhout, Stijn Eyerman, 2010, IEEE Transactions on Computers.

Lieven Eeckhout, Harish Patil, Trevor E. Carlson, 2014 .

Lieven Eeckhout, Juan Hamers, L. Eeckhout, 2010, Computer.

Lieven Eeckhout, Osman Allam, Stefanos Kaxiras, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Lieven Eeckhout, Koen De Bosschere, 2004, Parallel Comput..

Lieven Eeckhout, Davy Genbrugge, 2009, IEEE Transactions on Computers.

Lieven Eeckhout, Aamer Jaleel, Trevor E. Carlson, 2014, ROSS@ICS.

Lieven Eeckhout, Koen De Bosschere, Andy Georges, 2004, OOPSLA '04.

Rudy Lauwereins, Lieven Eeckhout, Koen De Bosschere, 2001 .

Lieven Eeckhout, Koen De Bosschere, Frederik Vandeputte, 2005 .

Lieven Eeckhout, Fan Zhang, Zhibin Yu, 2016, The Journal of Supercomputing.

Lieven Eeckhout, Koen De Bosschere, Davy Genbrugge, 2006, ICS '06.

Lieven Eeckhout, Kathryn S. McKinley, Jennifer B. Sartor, 2019, Proc. ACM Meas. Anal. Comput. Syst..

Lieven Eeckhout, Lizy Kurian John, Yue Luo, 2004, 16th Symposium on Computer Architecture and High Performance Computing.

Lieven Eeckhout, Zhiying Wang, Xia Zhao, 2019, IEEE Transactions on Parallel and Distributed Systems.

Stijn Eyerman, Lieven Eeckhout, Lizy Kurian John, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Lieven Eeckhout, Frederick Ryckbosch, Stijn Polfliet, 2012, ICPE '12.

Hai Jin, Lieven Eeckhout, Cheng-Zhong Xu, 2015, 2015 44th International Conference on Parallel Processing.

Lieven Eeckhout, Andy Georges, Dries Buytaert, 2007, OOPSLA '07.

Lieven Eeckhout, Lizy Kurian John, David J. Lilja, 2006, 2006 IEEE International Symposium on Workload Characterization.

Lieven Eeckhout, Josué Feliu, Ajeya Naithani, 2020, PACT.

Lieven Eeckhout, 2015, IEEE Micro.

Lieven Eeckhout, Andy Georges, Dries Buytaert, 2007, OOPSLA.

Stijn Eyerman, Lieven Eeckhout, Maximilien Breughe, 2012, 2012 IEEE International Symposium on Performance Analysis of Systems & Software.

Lieven Eeckhout, Julio Sahuquillo, Salvador Petit, 2020, IEEE Transactions on Parallel and Distributed Systems.

Jason Cong, Dinesh Manocha, Sushil Jajodia, 2016, IEEE Trans. Computers.

Lieven Eeckhout, David J. Lilja, Joshua J. Yi, 2006 .

Hai Jin, Lieven Eeckhout, Lizy Kurian John, 2013, SIGMETRICS '13.

Lieven Eeckhout, Koen De Bosschere, Hans Vandierendonck, 2003, Computer.

Lieven Eeckhout, Davy Genbrugge, L. Eeckhout, 2007, 2007 25th International Conference on Computer Design.

Lieven Eeckhout, Koen De Bosschere, Davy Genbrugge, 2005 .

Yingwei Luo, Xiaolin Wang, Lieven Eeckhout, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Lieven Eeckhout, Sam Van den Steen, 2018, IEEE Computer Architecture Letters.

Lieven Eeckhout, Kevin Skadron, Trevor E. Carlson, 2011, 2011 IEEE International Symposium on Workload Characterization (IISWC).

James E. Smith, Stijn Eyerman, Lieven Eeckhout, 2008, HiPEAC.

Stijn Eyerman, Lieven Eeckhout, 2010, ASPLOS 2010.

Lieven Eeckhout, Koen De Bosschere, Andy Georges, 2003, OOPSLA 2003.

Lieven Eeckhout, Kenneth Hoste, 2008, ISPASS 2008 - IEEE International Symposium on Performance Analysis of Systems and software.

Lieven Eeckhout, Davy Genbrugge, 2008 .

Lieven Eeckhout, Lizy Kurian John, Arun A. Nair, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Lieven Eeckhout, Frederick Ryckbosch, Stijn Polfliet, 2010, IEEE Micro.

Lieven Eeckhout, Frederick Ryckbosch, Stijn Polfliet, 2011, IEEE Micro.

Lieven Eeckhout, Koen De Bosschere, Hans Vandierendonck, 2002, HPCA 2002.

Stijn Eyerman, Lieven Eeckhout, Kristof Du Bois, 2012, 2012 IEEE International Symposium on Performance Analysis of Systems & Software.

Stijn Eyerman, Lieven Eeckhout, Sander De Pestel, 2017, IEEE Transactions on Computers.

Lieven Eeckhout, Lizy Kurian John, Ciji Isen, 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

Lieven Eeckhout, David J. Lilja, Hans Vandierendonck, 2006, ICS '06.

Lieven Eeckhout, 2016, IEEE Micro.

Lieven Eeckhout, Koen De Bosschere, Kris Venstermans, 2006 .

Lieven Eeckhout, Xia Zhao, Sheng Ma, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Lieven Eeckhout, Maximilien Breughe, L. Eeckhout, 2013, ACM Trans. Archit. Code Optim..

Stijn Eyerman, Lieven Eeckhout, Stijn Eyerman, 2009, ASPLOS.

Lieven Eeckhout, Arthur Crapé, L. Eeckhout, 2020, 2020 IEEE International Symposium on Workload Characterization (IISWC).

Lieven Eeckhout, Kenzo Van Craeynest, 2011, 2011 IEEE International Symposium on Workload Characterization (IISWC).

Lieven Eeckhout, Lizy K. John, L. Eeckhout, 2018 .

Lieven Eeckhout, Olivier Temam, Grigori Fursin, 2012, TACO.

Lieven Eeckhout, 2009, ISCA '09.

Lieven Eeckhout, Koen De Bosschere, Henk Neefs, 2000, 2000 IEEE International Symposium on Performance Analysis of Systems and Software. ISPASS (Cat. No.00EX422).

Lieven Eeckhout, Koen De Bosschere, Lizy K. John, 2004, ISCA 2004.

Stijn Eyerman, Lieven Eeckhout, Kenneth Hoste, 2011, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.

Lieven Eeckhout, Josué Feliu, Almutaz Adileh, 2019, IEEE Computer Architecture Letters.

Lieven Eeckhout, Frederik Vandeputte, 2008, HiPEAC.

Lieven Eeckhout, Brad Calder, Michael Van Biesbrouck, 2006, 2006 IEEE International Symposium on Performance Analysis of Systems and Software.

Stijn Eyerman, Lieven Eeckhout, Jennifer B. Sartor, 2013, OOPSLA.

Lieven Eeckhout, Koen De Bosschere, L. Eeckhout, 2001 .

Lieven Eeckhout, Lizy Kurian John, Ajay Joshi, 2006, 2006 IEEE International Symposium on Workload Characterization.

Lieven Eeckhout, Kenneth Hoste, L. Eeckhout, 2009, Computer.

Lieven Eeckhout, David J. Lilja, Almutaz Adileh, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Lieven Eeckhout, Koen De Bosschere, Matthew Arnold, 2007, OOPSLA.

Lieven Eeckhout, Kenneth Hoste, L. Eeckhout, 2006, 2006 IEEE International Symposium on Workload Characterization.

Stijn Eyerman, Lieven Eeckhout, Stijn Eyerman, 2010, ASPLOS XV.

Lieven Eeckhout, Simon Kluyskens, L. Eeckhout, 2009, Trans. High Perform. Embed. Archit. Compil..

Lieven Eeckhout, Trevor E. Carlson, Wim Heirman, 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Lieven Eeckhout, Koen De Bosschere, Lizy Kurian John, 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Lieven Eeckhout, Yue Luo, Lizy K. John, 2004 .

Stijn Eyerman, Lieven Eeckhout, Trevor E. Carlson, 2014, ACM Trans. Archit. Code Optim..

Henk Corporaal, Lieven Eeckhout, Koen De Bosschere, 2009, TODE.

Lieven Eeckhout, Koen De Bosschere, Frederik Vandeputte, 2006 .

Lieven Eeckhout, Luk Van Ertvelde, 2010, IEEE International Symposium on Workload Characterization (IISWC'10).

Rainer Leupers, Lieven Eeckhout, Grant Martin, 2011, 2011 Design, Automation & Test in Europe.

Hai Jin, Lieven Eeckhout, Lizy Kurian John, 2015, IEEE Transactions on Computers.

Lieven Eeckhout, Koen De Bosschere, Kris Venstermans, 2007, TACO.

Lieven Eeckhout, Koen De Bosschere, Lizy Kurian John, 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

Lieven Eeckhout, Daniel Jiménez-González, Jennifer B. Sartor, 2015, 2015 IEEE 26th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Lieven Eeckhout, Lizy K. John, Ajay Joshi, 2008 .

Lieven Eeckhout, Smaïl Niar, Hassan Sbeyti, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Lieven Eeckhout, Smaïl Niar, Hassan Sbeyti, 2006, J. Syst. Archit..

Lieven Eeckhout, Frederik Vandeputte, L. Eeckhout, 2008, HiPEAC.

Yingwei Luo, Xiaolin Wang, Lieven Eeckhout, 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Lieven Eeckhout, Henk Neefs, Koen De Bosschere, 1999 .

Lieven Eeckhout, Frederick Ryckbosch, Stijn Polfliet, 2011, ICS '11.

David Black-Schaffer, Erik Hagersten, Stijn Eyerman, 2015, 2015 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Lieven Eeckhout, Koen De Bosschere, 2002 .

Stijn Eyerman, Lieven Eeckhout, Sander De Pestel, 2015, ISPASS 2015.

Fan Zhang, Lieven Eeckhout, Cheng-Zhong Xu, 2015, 2015 44th International Conference on Parallel Processing.

Lieven Eeckhout, Trevor E. Carlson, Wim Heirman, 2012 .

Lieven Eeckhout, L. Eeckhout, 2017, IEEE Micro.

Lieven Eeckhout, Koen De Bosschere, Veerle Desmet, 2006, J. Syst. Archit..

Lieven Eeckhout, Frederick Ryckbosch, Stijn Polfliet, 2012, TACO.

Lieven Eeckhout, Koen De Bosschere, Kris Venstermans, 2005 .

Lieven Eeckhout, Lizy Kurian John, David J. Lilja, 2006, 2006 IEEE International Symposium on Performance Analysis of Systems and Software.

Lieven Eeckhout, Hendrik Blockeel, Andy Georges, 2011, 2011 IEEE International Symposium on Workload Characterization (IISWC).

Lieven Eeckhout, Kenneth Hoste, L. Eeckhout, 2008, CGO '08.

Lieven Eeckhout, Sam Ainsworth, Timothy M. Jones, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Lieven Eeckhout, Mahmood Naderan-Tahan, L. Eeckhout, 2021, 2021 IEEE International Symposium on Workload Characterization (IISWC).

Stijn Eyerman, Lieven Eeckhout, Wim Heirman, 2021, IEEE Computer Architecture Letters.

Lieven Eeckhout, Magnus Jahre, Björn Gottschall, 2021, MICRO.

Lieven Eeckhout, Jennifer B. Sartor, Shoaib Akram, 2021, ACM Trans. Archit. Code Optim..

Lieven Eeckhout, Kathryn S. McKinley, Jennifer B. Sartor, 2018, PLDI.

Lieven Eeckhout, Ajay Joshi, Lizy K. John, 2005 .

Lieven Eeckhout, Brad Calder, Michael Van Biesbrouck, 2005 .

Lieven Eeckhout, Ajay Joshi, Aashish Phansalkar, 2004 .