Abdullah Nazma Nowroz

发表

Sherief Reda, Kapil Dev, Abdullah Nazma Nowroz, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Sherief Reda, Gary Woods, Abdullah Nazma Nowroz, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Farinaz Koushanfar, Sherief Reda, Abdullah Nazma Nowroz, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sherief Reda, Ryan Cochran, Abdullah Nazma Nowroz, 2011, IEEE Transactions on Computers.

Sherief Reda, Ryan Cochran, Abdullah Nazma Nowroz, 2013, Integr..

Sherief Reda, Ryan Cochran, Abdullah Nazma Nowroz, 2010, Design Automation Conference.

Sherief Reda, Gary Woods, Abdullah Nazma Nowroz, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Farinaz Koushanfar, Sherief Reda, Abdullah Nazma Nowroz, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Sherief Reda, Ryan Cochran, Abdullah Nazma Nowroz, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Sherief Reda, Abdullah Nazma Nowroz, S. Reda, 2012, Found. Trends Electron. Des. Autom..

Sherief Reda, Abdullah Nazma Nowroz, S. Reda, 2011, FPGA '11.