Sae Kyu Lee

发表

Gu-Yeon Wei, David M. Brooks, Sae Kyu Lee, 2012, ISLPED '12.

Robert J. Wood, Xuan Zhang, Gu-Yeon Wei, 2017, IEEE Journal of Solid-State Circuits.

Gu-Yeon Wei, David M. Brooks, Xuan Zhang, 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

Gu-Yeon Wei, David M. Brooks, José Miguel Hernández-Lobato, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Gu-Yeon Wei, David M. Brooks, Xuan Zhang, 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

Gu-Yeon Wei, David M. Brooks, Sae Kyu Lee, 2017, 2017 51st Asilomar Conference on Signals, Systems, and Computers.

Gu-Yeon Wei, Paul N. Whatmough, Sae Kyu Lee, 2018, IEEE Journal of Solid-State Circuits.

Gu-Yeon Wei, David M. Brooks, Xuan Zhang, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Adnan Aziz, Sae Kyu Lee, Stephen Bijansky, 2009, 2009 10th International Symposium on Quality Electronic Design.

Gu-Yeon Wei, David M. Brooks, Sae Kyu Lee, 2018, Design Automation Conference.

Gu-Yeon Wei, Sae Kyu Lee, Brandon Reagen, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Xuan Zhang, Gu-Yeon Wei, Sae Kyu Lee, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Gu-Yeon Wei, Paul N. Whatmough, Sae Kyu Lee, 2019, IEEE Journal of Solid-State Circuits.

Swagath Venkataramani, Xiao Sun, Mingu Kang, 2020, 2020 IEEE Symposium on VLSI Circuits.

David Brooks, Gu-Yeon Wei, Patrick Hansen, 2018, ESSCIRC 2018 - IEEE 44th European Solid State Circuits Conference (ESSCIRC).

Gu-Yeon Wei, David M. Brooks, Sae Kyu Lee, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Gu-Yeon Wei, José Miguel Hernández-Lobato, Sae Kyu Lee, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).