Marco Donato

发表

R. Iris Bahar, William R. Patterson, Alexander Zaslavsky, 2016, 2016 International Great Lakes Symposium on VLSI (GLSVLSI).

Joseph L. Mundy, Fabio Cremona, Warren Jin, 2012, GLSVLSI '12.

R. Iris Bahar, William R. Patterson, Alexander Zaslavsky, 2015, ACM Great Lakes Symposium on VLSI.

Gu-Yeon Wei, Brandon Reagen, David Brooks, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

R. Iris Bahar, William R. Patterson, Alexander Zaslavsky, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

R. Iris Bahar, William R. Patterson, Alexander Zaslavsky, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

David Brooks, Gu-Yeon Wei, Brandon Reagen, 2018, Design Automation Conference.

Alexander M. Rush, David Brooks, Paul N. Whatmough, 2020, MICRO.

Gu-Yeon Wei, Qiuwen Lou, Michael Niemier, 2021, 2021 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Gu-Yeon Wei, David Brooks, Mark Hempstead, 2021, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA).