Da-Cheng Juan

发表

Radu Marculescu, Chi-Ying Tsui, Diana Marculescu, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Shih-Chieh Chang, Yu-Ting Chen, Da-Cheng Juan, 2017, ECML/PKDD.

Christos Faloutsos, Diana Marculescu, Zhiliang Qian, 2016, ArXiv.

Shih-Chieh Chang, Wei Wei, Da-Cheng Juan, 2019, ArXiv.

Wei Wei, Shih-Chieh Chang, Da-Cheng Juan, 2019, ICLR.

Wei Wei, Shih-Chieh Chang, Yu-Ting Chen, 2018 .

Diana Marculescu, Da-Cheng Juan, Dimitrios Stamoulis, 2017, ArXiv.

Diana Marculescu, Guangshuo Liu, Da-Cheng Juan, 2015, 2015 20th International Conference on Control Systems and Computer Science.

Yao-Wen Chang, Diana Marculescu, Da-Cheng Juan, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Radu Marculescu, Chi-Ying Tsui, Diana Marculescu, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Shih-Chieh Chang, Yu-Ting Chen, Da-Cheng Juan, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Diana Marculescu, Xin Li, Da-Cheng Juan, 2012, 17th Asia and South Pacific Design Automation Conference.

Shih-Chieh Chang, Yu-Ting Chen, Da-Cheng Juan, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Che-Rung Lee, Wei Wei, Hwann-Tzong Chen, 2018, ECCV.

Christos Faloutsos, Lei Li, Diana Marculescu, 2014, PAKDD.

Min Sun, Wei Wei, Shih-Chieh Chang, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yu-Sheng Chen, Wei Wei, Hwann-Tzong Chen, 2018 .

Siddharth Garg, Diana Marculescu, Jinpyo Park, 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Siddharth Garg, Diana Marculescu, Jinpyo Park, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Christos Faloutsos, Diana Marculescu, Zhiliang Qian, 2017, 2017 IEEE International Conference on Data Science and Advanced Analytics (DSAA).

Wei Wei, Hwann-Tzong Chen, Da-Cheng Juan, 2019, 2019 IEEE/CVF International Conference on Computer Vision (ICCV).

Diana Marculescu, Da-Cheng Juan, Dimitrios Stamoulis, 2017, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Wei Wei, Shih-Chieh Chang, Da-Cheng Juan, 2019, 2019 IEEE/CVF International Conference on Computer Vision (ICCV).

Diana Marculescu, Da-Cheng Juan, Da-Cheng Juan, 2012, ISLPED '12.

Diana Marculescu, Da-Cheng Juan, Dimitrios Stamoulis, 2017, ACML.

Min Sun, Wei Wei, Da-Cheng Juan, 2018, AAAI.

Siddharth Garg, Diana Marculescu, Da-Cheng Juan, 2011, 2011 Design, Automation & Test in Europe.

Zhen Li, Chun-Ta Lu, Andrew Tomkins, 2019, ArXiv.

Andrew McCallum, Sujith Ravi, Da-Cheng Juan, 2019, ACL.

Shih-Chieh Chang, Yu-Ting Chen, Da-Cheng Juan, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Shih-Chieh Chang, Yu-Ting Chen, Da-Cheng Juan, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Siddharth Garg, Diana Marculescu, Da-Cheng Juan, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Yiyu Shi, Da-Cheng Juan, Jinglan Liu, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Radu Marculescu, Chi-Ying Tsui, Diana Marculescu, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiyu Shi, Xiaobo Sharon Hu, Da-Cheng Juan, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).