Anantha Chandrakasan
发表
Naveen Verma,
Anantha Chandrakasan,
A. Chandrakasan,
2008,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Fred S. Lee,
2007,
2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
Anantha Chandrakasan,
Nachiket V. Desai,
2016,
ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.
Anantha Chandrakasan,
Bhavya K. Daya,
Li-Shiuan Peh,
2016,
IEEE Computer Architecture Letters.
Anantha Chandrakasan,
Amit Sinha,
Alice Wang,
2002,
IEEE Trans. Very Large Scale Integr. Syst..
Anantha Chandrakasan,
Vivek De,
Shekhar Y. Borkar,
2001,
ISLPED'01: Proceedings of the 2001 International Symposium on Low Power Electronics and Design (IEEE Cat. No.01TH8581).
Anantha Chandrakasan,
Siva G. Narendra,
James Kao,
1998,
Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
Anantha Chandrakasan,
Minhua Zhou,
Vivienne Sze,
2013,
IEEE Journal of Selected Topics in Signal Processing.
Luca Benini,
Anantha Chandrakasan,
Twan Basten,
2003,
2003 Design, Automation and Test in Europe Conference and Exhibition.
Anantha Chandrakasan,
Charles Sodini,
Andrew Y. Wang,
2001,
ISLPED '01.
Lara Dolecek,
Devavrat Shah,
Anantha Chandrakasan,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Brian P. Ginsburg,
Anantha Chandrakasan,
2005,
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..
Anantha Chandrakasan,
Marcus Yip,
A. Chandrakasan,
2013,
IEEE Journal of Solid-State Circuits.
Sani R. Nassif,
Anantha Chandrakasan,
Duane S. Boning,
2000,
Proceedings 37th Design Automation Conference.
Anantha Chandrakasan,
Ichiro Masaki,
Marcelo M. Mizuki,
1996,
1996 IEEE International Conference on Acoustics, Speech, and Signal Processing Conference Proceedings.
Hae-Seung Lee,
Anantha Chandrakasan,
Harneet Singh Khurana,
2018,
2018 IEEE International Symposium on Circuits and Systems (ISCAS).
Anantha Chandrakasan,
Patrick P. Mercier,
Konstantina M. Stankovic,
2014,
2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
Anantha Chandrakasan,
Samuel H. Fuller,
Utsav Banerjee,
2017,
GLOBECOM 2017 - 2017 IEEE Global Communications Conference.
Anantha Chandrakasan,
Nachiket V. Desai,
Jerald Yoo,
2014,
IEEE J. Solid State Circuits.
Kevin G. Stawiasz,
Anantha Chandrakasan,
Masood Qazi,
2011,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
1996,
Proceedings of 9th International Conference on VLSI Design.
Young-Su Kwon,
Anantha Chandrakasan,
Donald E. Troxel,
2005,
SLIP '05.
Anantha Chandrakasan,
Amit Sinha,
A. Sinha,
2001,
IEEE Des. Test Comput..
Anantha Chandrakasan,
Vivienne Sze,
Chao-Tsung Huang,
2014,
IEEE Journal of Solid-State Circuits.
Arun Paidimarri,
Nathan Ickes,
Anantha Chandrakasan,
2016,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Yildiz Sinangil,
Avishek Biswas,
2014,
ESSCIRC 2014 - 40th European Solid State Circuits Conference (ESSCIRC).
Nathan Ickes,
Anantha Chandrakasan,
Amit Sinha,
2001,
MobiCom '01.
Anantha Chandrakasan,
Benton H. Calhoun,
Alice Wang,
1999,
1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
James R. Glass,
Anantha Chandrakasan,
Skanda Koppula,
2018,
2018 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).
Anantha Chandrakasan,
Puneet P. Newaskar,
Raúl Blázquez,
2005,
J. VLSI Signal Process..
Anantha Chandrakasan,
Jing Kong,
Taeg Sang Cho,
2008,
2007 IEEE Custom Integrated Circuits Conference.
Anantha Chandrakasan,
Muriel Médard,
Georgios Angelopoulos,
2014,
BODYNETS.
Uming Ko,
Anantha Chandrakasan,
Li-Shiuan Peh,
2016,
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Frank Fox,
Anantha Chandrakasan,
Francky Catthoor,
1997,
ISLPED '97.
Anantha Chandrakasan,
Robert W. Brodersen,
Samuel Sheng,
1992,
The Fifth International Conference on VLSI Design.
Anantha Chandrakasan,
Vadim Gutnik,
A. Chandrakasan,
1997,
IEEE Trans. Very Large Scale Integr. Syst..
Denis C. Daly,
Bruno Bougard,
Anantha Chandrakasan,
2005,
Design, Automation and Test in Europe.
Anantha Chandrakasan,
Omid Salehi-Abari,
Dina Katabi,
2015,
Comput. Commun. Rev..
Miodrag Potkonjak,
Jan M. Rabaey,
Anantha Chandrakasan,
1992,
ICCAD.
Naveen Verma,
Anantha Chandrakasan,
Benton H. Calhoun,
2012,
IEEE J. Emerg. Sel. Topics Circuits Syst..
Hae-Seung Lee,
Anantha Chandrakasan,
Sirma Orguc,
2017,
ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference.
Anantha Chandrakasan,
Patrick P. Mercier,
A. Chandrakasan,
2013,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Anantha Chandrakasan,
Joel L. Dawson,
Jose L. Bohorquez,
2009,
2008 IEEE Symposium on VLSI Circuits.
Lara Dolecek,
Devavrat Shah,
Anantha Chandrakasan,
2008,
2008 IEEE/ACM International Conference on Computer-Aided Design.
Hae-Seung Lee,
Anantha Chandrakasan,
Sunghyuk Lee,
2011,
2011 Proceedings of the ESSCIRC (ESSCIRC).
Nathan Ickes,
Anantha Chandrakasan,
Amit Sinha,
2002,
IEEE Wireless Communications.
Anantha Chandrakasan,
Joyce Kwong,
A. Chandrakasan,
2006,
ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
Anantha Chandrakasan,
Vinod Vaikuntanathan,
Chiraag Juvekar,
2018,
IACR Cryptol. ePrint Arch..
Anantha Chandrakasan,
Yogesh K. Ramadass,
2010,
2010 IEEE International Solid-State Circuits Conference - (ISSCC).
Anantha Chandrakasan,
Vivienne Sze,
V. Sze,
2009,
2009 16th IEEE International Conference on Image Processing (ICIP).
Anantha Chandrakasan,
Avishek Biswas,
A. Chandrakasan,
2018,
2018 IEEE International Solid - State Circuits Conference - (ISSCC).
Anantha Chandrakasan,
Mahmut E. Sinangil,
A. Chandrakasan,
2013,
IEEE Journal of Solid-State Circuits.
Arun Paidimarri,
Anantha Chandrakasan,
Muriel Médard,
2013,
2013 IEEE International Conference on Communications (ICC).
Anantha Chandrakasan,
Minhua Zhou,
Vivienne Sze,
2012,
2012 19th IEEE International Conference on Image Processing.
Arun Paidimarri,
Anantha Chandrakasan,
Phillip M. Nadeau,
2015,
2015 Symposium on VLSI Circuits (VLSI Circuits).
Anantha Chandrakasan,
Nachiket V. Desai,
Jerald Yoo,
2014,
IEEE Journal of Solid-State Circuits.
Mani B. Srivastava,
Anantha Chandrakasan,
Robert W. Brodersen,
1996,
IEEE Trans. Very Large Scale Integr. Syst..
Anantha Chandrakasan,
Nisha Checka,
Rafael Reif,
2005,
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..
Anantha Chandrakasan,
Seong-Hwan Cho,
Thucydides Xanthopoulos,
1999,
IEEE Trans. Very Large Scale Integr. Syst..
Devavrat Shah,
Anantha Chandrakasan,
Duane S. Boning,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Anantha Chandrakasan,
Duane S. Boning,
Nigel Drego,
2010,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Bhavya K. Daya,
Li-Shiuan Peh,
2017,
2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
Carlos E. Muñoz,
Kush Gulati,
Anantha Chandrakasan,
2005,
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..
Hae-Seung Lee,
Anantha Chandrakasan,
Konstantina M. Stankovic,
2018,
2018 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).
Anantha Chandrakasan,
Nachiket V. Desai,
Yogesh K. Ramadass,
2014,
2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Anantha Chandrakasan,
Rui Jin,
Konstantina M. Stankovic,
2014,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Mahmut E. Sinangil,
Hugh Mair,
2011,
2011 IEEE International Solid-State Circuits Conference.
Anantha Chandrakasan,
Yogesh K. Ramadass,
Saurav Bandyopadhyay,
2011,
IEEE Journal of Solid-State Circuits.
Nathan Ickes,
Anantha Chandrakasan,
Rahul Rithe,
2014,
IEEE J. Solid State Circuits.
Brian P. Ginsburg,
Denis C. Daly,
David D. Wentzloff,
2009,
Proceedings of the IEEE.
Miodrag Potkonjak,
Jan M. Rabaey,
Anantha Chandrakasan,
1995,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Nathan Ickes,
Anantha Chandrakasan,
Francesco Pappalardo,
2011,
2011 Proceedings of the ESSCIRC (ESSCIRC).
Anantha Chandrakasan,
Bhavya K. Daya,
Li-Shiuan Peh,
2014,
2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
Anantha Chandrakasan,
Nachiket V. Desai,
Jeffrey H. Lang,
2017,
ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference.
Anantha Chandrakasan,
James Goodman,
Abram P. Dancy,
1999,
DAC '99.
Srinivas Devadas,
Anantha Chandrakasan,
George Hadjiyiannis,
1998,
Wirel. Networks.
Anantha Chandrakasan,
Arifur Rahman,
Shamik Das,
2001,
SLIP '01.
Anantha Chandrakasan,
Gangadhar Konduri,
1999,
DAC '99.
Anantha Chandrakasan,
Alice Wang,
Stephen V. Kosonocky,
2002,
Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002.
Anantha Chandrakasan,
Yogesh K. Ramadass,
John Carpenter,
2012,
2012 IEEE International Solid-State Circuits Conference.
Anantha Chandrakasan,
Siva G. Narendra,
James Kao,
2002,
IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
Naveen Verma,
Anantha Chandrakasan,
Yogesh K. Ramadass,
2008,
2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
Jie Gu,
Anantha Chandrakasan,
Alice Wang,
2012,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Anantha Chandrakasan,
Arifur Rahman,
Shamik Das,
2003,
IEEE Trans. Very Large Scale Integr. Syst..
Arun Paidimarri,
Anantha Chandrakasan,
Phillip M. Nadeau,
2016,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Alice Wang,
2003,
ISLPED '03.
Denis C. Daly,
Anantha Chandrakasan,
Manish Bhardwaj,
2009,
2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
Hae-Seung Lee,
Anantha Chandrakasan,
Sunghyuk Lee,
2012,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Minhua Zhou,
Vivienne Sze,
2012,
2012 19th IEEE International Conference on Image Processing.
Anantha Chandrakasan,
Paul-Peter Sotiriadis,
A. Chandrakasan,
2002,
IEEE Trans. Very Large Scale Integr. Syst..
Arun Paidimarri,
Anantha Chandrakasan,
Mohamed R. Abdelhamid,
2018,
2018 IEEE Custom Integrated Circuits Conference (CICC).
Anantha Chandrakasan,
Li-Shiuan Peh,
Sunghyun Park,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Anantha Chandrakasan,
Shamik Das,
Rafael Reif,
2004,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Anantha Chandrakasan,
Shamik Das,
Rafael Reif,
2004,
GLSVLSI '04.
Anantha Chandrakasan,
Thucydides Xanthopoulos,
Vadim Gutnik,
1996,
ISLPED '96.
Anantha Chandrakasan,
Bhavya K. Daya,
Li-Shiuan Peh,
2014,
IEEE Hot Chips Symposium.
Anantha Chandrakasan,
Chao-Tsung Huang,
Mehul Tikekar,
2013,
2013 Visual Communications and Image Processing (VCIP).
Anantha Chandrakasan,
Patrick P. Mercier,
Konstantina M. Stankovic,
2014,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Bram Nauta,
2013,
ISSCC.
Anantha Chandrakasan,
Masood Qazi,
Michael Clinton,
2011,
2011 IEEE International Solid-State Circuits Conference.
Anantha Chandrakasan,
Mahmut E. Sinangil,
2013,
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
Anantha Chandrakasan,
Dimitri Antoniadis,
James Kao,
1997,
DAC.
Mani B. Srivastava,
Anantha Chandrakasan,
Robert W. Brodersen,
1994,
Proceedings of 7th International Conference on VLSI Design.
SonicPACT: An Ultrasonic Ranging Method for the Private Automated Contact Tracing (PACT) Protocol
pdf
Anantha Chandrakasan,
Hari Balakrishnan,
Gerald Jay Sussman,
2020,
ArXiv.
Miodrag Potkonjak,
Mani B. Srivastava,
Anantha Chandrakasan,
1994,
31st Design Automation Conference.
Anantha Chandrakasan,
Bram Nauta,
Trudy Stetzler,
2013,
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
Uming Ko,
Nathan Ickes,
Jie Gu,
2012,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Masood Qazi,
Michael Clinton,
2012,
IEEE Journal of Solid-State Circuits.
Uming Ko,
Anantha Chandrakasan,
Stacy Ho,
2016,
2016 IEEE International 3D Systems Integration Conference (3DIC).
Anantha Chandrakasan,
Amit Sinha,
A. Sinha,
2001,
VLSI Design 2001. Fourteenth International Conference on VLSI Design.
Anantha Chandrakasan,
Avishek Biswas,
A. Chandrakasan,
2016,
ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.
Anantha Chandrakasan,
Vivienne Sze,
V. Sze,
2011,
2011 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).
Anantha Chandrakasan,
James Goodman,
2000,
CHES.
Anantha Chandrakasan,
Bhavya K. Daya,
Li-Shiuan Peh,
2012,
DAC Design Automation Conference 2012.
Anantha Chandrakasan,
Hyung-Min Lee,
Chiraag Juvekar,
2018,
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).
Denis C. Daly,
Anantha Chandrakasan,
2008,
2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
Anantha Chandrakasan,
Duane S. Boning,
Nigel Drego,
2007,
8th International Symposium on Quality Electronic Design (ISQED'07).
Jie Gu,
Anantha Chandrakasan,
Alice Wang,
2011,
2011 24th Internatioal Conference on VLSI Design.
Anantha Chandrakasan,
Wendi B. Heinzelman,
Amit Sinha,
2000,
2000 IEEE International Conference on Acoustics, Speech, and Signal Processing. Proceedings (Cat. No.00CH37100).
Anantha Chandrakasan,
Wendi B. Heinzelman,
A. Chandrakasan,
1997,
IEEE Trans. Circuits Syst. Video Technol..
Anantha Chandrakasan,
Li-Shiuan Peh,
Chia-Hsin Owen Chen,
2013,
Computer.
Anantha Chandrakasan,
Benton H. Calhoun,
Alice Wang,
2006,
Series on Integrated Circuits and Systems.
Anantha Chandrakasan,
Vivienne Sze,
Mahmut E. Sinangil,
2009,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Hyung-Min Lee,
Chiraag Juvekar,
2016,
2016 IEEE International Solid-State Circuits Conference (ISSCC).
Anantha Chandrakasan,
Abram P. Dancy,
1998,
Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).
90.6% efficient 11MHz 22W LED driver using GaN FETs and burst-mode controller with 0.96 power factor
Anantha Chandrakasan,
Saurav Bandyopadhyay,
Dave Freeman,
2013,
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
Nathan Ickes,
Anantha Chandrakasan,
Rahul Rithe,
2013,
IEEE Journal of Solid-State Circuits.
Denis C. Daly,
David D. Wentzloff,
Anantha Chandrakasan,
2008,
2008 IEEE International Symposium on Circuits and Systems.
Anantha Chandrakasan,
Thucydides Xanthopoulos,
Yoshifumi Yaoi,
1997,
DAC.
David D. Wentzloff,
Anantha Chandrakasan,
2007,
2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
Anantha Chandrakasan,
Amit Sinha,
A. Sinha,
2001,
ICCAD.
Anantha Chandrakasan,
Yogesh K. Ramadass,
A. Chandrakasan,
2009,
2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
Anantha Chandrakasan,
Li-Shiuan Peh,
Chia-Hsin Owen Chen,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Anantha Chandrakasan,
Amit Sinha,
A. Sinha,
2001,
DAC '01.
Anantha Chandrakasan,
Yogesh K. Ramadass,
Ayman A. Fayed,
2010,
IEEE Journal of Solid-State Circuits.
Miodrag Potkonjak,
Mani B. Srivastava,
Anantha Chandrakasan,
1996,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Anantha Chandrakasan,
Jeffrey H. Lang,
Rajeevan Amirtharajah,
2001,
IEEE Trans. Very Large Scale Integr. Syst..
Arun Paidimarri,
Anantha Chandrakasan,
Patrick P. Mercier,
2012,
2012 Symposium on VLSI Circuits (VLSIC).
Anantha Chandrakasan,
Dimitri Antoniadis,
Carlin Vieri,
1996,
DAC '96.
Anantha Chandrakasan,
Vivek De,
Shekhar Y. Borkar,
2002,
ISLPED '02.
20μA to 100mA DC-DC converter with 2.8 to 4.2V battery supply for portable applications in 45nm CMOS
Anantha Chandrakasan,
Yogesh K. Ramadass,
Saurav Bandyopadhyay,
2011,
2011 IEEE International Solid-State Circuits Conference.
Anantha Chandrakasan,
Umut Arslan,
Fatih Hamzaoglu,
2017,
2017 IEEE Custom Integrated Circuits Conference (CICC).
Anantha Chandrakasan,
Kevin Zhang,
2016,
ISSCC.
Anantha Chandrakasan,
Seong-Hwan Cho,
A. Chandrakasan,
2001,
2001 IEEE International Conference on Acoustics, Speech, and Signal Processing. Proceedings (Cat. No.01CH37221).
Anantha Chandrakasan,
Paul-Peter Sotiriadis,
2001,
ASP-DAC '01.
Anantha Chandrakasan,
Debashis Saha,
A. Chandrakasan,
1997,
Proceedings of IEEE 6th Workshop on Enabling Technologies: Infrastructure for Collaborative Enterprises.
Anantha Chandrakasan,
Muriel Médard,
Georgios Angelopoulos,
2011,
Networking Workshops.
Anantha Chandrakasan,
Yogesh K. Ramadass,
2007,
2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
Kurt Keutzer,
Mani B. Srivastava,
Anantha Chandrakasan,
1996,
VLSI Design.
Anantha Chandrakasan,
Muriel Médard,
Georgios Angelopoulos,
2014,
2014 IEEE International Conference on Communications (ICC).
Anantha Chandrakasan,
Mahmut E. Sinangil,
Masood Qazi,
2011,
IEEE Design & Test of Computers.
Anantha Chandrakasan,
Nachiket V. Desai,
Shubham Chandak,
2017,
2017 IEEE International Solid-State Circuits Conference (ISSCC).
Anantha Chandrakasan,
Timothy K. Lu,
Phillip M. Nadeau,
2017,
2017 IEEE International Solid-State Circuits Conference (ISSCC).
Anantha Chandrakasan,
CheeWe Ng,
2001,
Proceedings of the Sixth International Symposium on Signal Processing and its Applications (Cat.No.01EX467).
Anantha Chandrakasan,
Alice Wang,
2001,
2001 IEEE International Conference on Acoustics, Speech, and Signal Processing. Proceedings (Cat. No.01CH37221).
Anantha Chandrakasan,
Yildiz Sinangil,
2014,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Rex Min,
2003,
MOCO.
Hae-Seung Lee,
Anantha Chandrakasan,
Charles Sodini,
2013,
IEEE Journal of Solid-State Circuits.
Vladimir Stojanovic,
Anantha Chandrakasan,
Fred Chen,
2010,
IEEE Custom Integrated Circuits Conference 2010.
Brian P. Ginsburg,
Anantha Chandrakasan,
2008,
2008 45th ACM/IEEE Design Automation Conference.
Vladimir Stojanovic,
Anantha Chandrakasan,
Ajay Joshi,
2007,
Nano-Net.
Lara Dolecek,
Devavrat Shah,
Anantha Chandrakasan,
2013,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Hae-Seung Lee,
Anantha Chandrakasan,
Sunghyuk Lee,
2014,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Manish Bhardwaj,
Vivienne Sze,
2006,
2006 IEEE International Conference on Acoustics Speech and Signal Processing Proceedings.
Anantha Chandrakasan,
Mahmut E. Sinangil,
Masood Qazi,
2012,
IEEE Transactions on Circuits and Systems II: Express Briefs.
Anantha Chandrakasan,
Saurav Bandyopadhyay,
Dina El-Damak,
2013,
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
Anantha Chandrakasan,
Andrew Wright,
Arvind,
2018,
2018 IEEE International Solid - State Circuits Conference - (ISSCC).
Anantha Chandrakasan,
Omid Salehi-Abari,
Dina Katabi,
2015,
Comput. Commun. Rev..
James R. Glass,
Anantha Chandrakasan,
Michael Price,
2016,
INTERSPEECH.
Anantha Chandrakasan,
Yogesh K. Ramadass,
A. Chandrakasan,
2010,
IEEE Journal of Solid-State Circuits.
David D. Wentzloff,
Anantha Chandrakasan,
2007,
2007 IEEE International Conference on Acoustics, Speech and Signal Processing - ICASSP '07.
Anantha Chandrakasan,
Raúl Blázquez,
A. Chandrakasan,
2005,
Proceedings. (ICASSP '05). IEEE International Conference on Acoustics, Speech, and Signal Processing, 2005..
Anantha Chandrakasan,
Benton H. Calhoun,
Fred S. Lee,
2004,
J. VLSI Signal Process..
Anantha Chandrakasan,
Amit Sinha,
A. Sinha,
2000,
VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.
Brian P. Ginsburg,
David D. Wentzloff,
Anantha Chandrakasan,
2005,
IEEE Communications Magazine.
Nathan Ickes,
Anantha Chandrakasan,
Daniela Rus,
2016
.
Anantha Chandrakasan,
Rajeevan Amirtharajah,
Thucydides Xanthopoulos,
1999,
Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
Anantha Chandrakasan,
Manish Bhardwaj,
Manish Bhardwaj,
2002,
Proceedings.Twenty-First Annual Joint Conference of the IEEE Computer and Communications Societies.
Anantha Chandrakasan,
Michael Price,
Bonnie Kit Ying Lam,
2016,
2016 IEEE International Workshop on Signal Processing Systems (SiPS).
Anantha Chandrakasan,
Paul-Peter Sotiriadis,
2002,
J. Circuits Syst. Comput..
Naveen Verma,
Denis C. Daly,
Anantha Chandrakasan,
2010,
Proceedings of the IEEE.
Anantha Chandrakasan,
Amit Sinha,
Alice Wang,
2001,
VLSI Design 2001. Fourteenth International Conference on VLSI Design.
James R. Glass,
Anantha Chandrakasan,
Michael Price,
2017,
2017 IEEE International Solid-State Circuits Conference (ISSCC).
Arun Paidimarri,
Anantha Chandrakasan,
Alice Wang,
2016,
IEEE Journal of Solid-State Circuits.
Vladimir Stojanovic,
Anantha Chandrakasan,
Omid Salehi-Abari,
2013,
IEEE Transactions on Circuits and Systems I: Regular Papers.
Anantha Chandrakasan,
Joyce Kwong,
A. Chandrakasan,
2010,
2010 Proceedings of ESSCIRC.
Anantha Chandrakasan,
Jing Kong,
Taeg Sang Cho,
2007,
2008 45th ACM/IEEE Design Automation Conference.
Denis C. Daly,
Anantha Chandrakasan,
Manish Bhardwaj,
2010,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Vivienne Sze,
Chao-Tsung Huang,
2014,
2014 IEEE International Conference on Image Processing (ICIP).
Anantha Chandrakasan,
Wendi B. Heinzelman,
1997,
1997 IEEE International Conference on Acoustics, Speech, and Signal Processing.
Naveen Verma,
Denis C. Daly,
David D. Wentzloff,
2005,
IEEE Transactions on Computers.
Anantha Chandrakasan,
Bhavya K. Daya,
Li-Shiuan Peh,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Anantha Chandrakasan,
Rajeevan Amirtharajah,
Abram P. Dancy,
2000,
IEEE Trans. Very Large Scale Integr. Syst..
Nathan Ickes,
Anantha Chandrakasan,
Amit Sinha,
2003,
IEEE Trans. Very Large Scale Integr. Syst..
Anantha Chandrakasan,
Robert B. Reese,
Franc Brglez,
2000,
Proceedings 2000 International Conference on Computer Design.
Anantha Chandrakasan,
Gage Hills,
Max M. Shulaker,
2019,
2019 IEEE International Solid- State Circuits Conference - (ISSCC).
Anantha Chandrakasan,
James Goodman,
Abram P. Dancy,
1999,
Proceedings Twelfth International Conference on VLSI Design. (Cat. No.PR00013).
Anantha Chandrakasan,
Vivienne Sze,
Chao-Tsung Huang,
2014,
High Efficiency Video Coding.
Brian P. Ginsburg,
Anantha Chandrakasan,
A. Chandrakasan,
2005,
2005 IEEE International Symposium on Circuits and Systems.
Anantha Chandrakasan,
Arvind,
Arvind,
2019,
Nature.
Anantha Chandrakasan,
Dina El-Damak,
A. Chandrakasan,
2016,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Curt Schurgers,
2008,
J. Signal Process. Syst..
Anantha Chandrakasan,
Chao-Tsung Huang,
Mehul Tikekar,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Anantha Chandrakasan,
2007
.
George Kurian,
Srinivas Devadas,
Anantha Chandrakasan,
2012,
DAC Design Automation Conference 2012.
Anantha Chandrakasan,
Nachiket V. Desai,
Jeffrey H. Lang,
2018,
2018 IEEE Custom Integrated Circuits Conference (CICC).
Naveen Verma,
Anantha Chandrakasan,
A. Chandrakasan,
2007,
2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
Anantha Chandrakasan,
Robert W. Brodersen,
1996,
J. VLSI Signal Process..
Anantha Chandrakasan,
Vivienne Sze,
Daniel F. Finchelstein,
2009,
IEEE Transactions on Circuits and Systems for Video Technology.
Arun Paidimarri,
Anantha Chandrakasan,
Patrick P. Mercier,
2013,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Marcus Yip,
2011,
2011 IEEE International Solid-State Circuits Conference.
Anantha Chandrakasan,
2009,
ISSCC.
Anantha Chandrakasan,
Paul-Peter Sotiriadis,
Theodoros Konstantakopoulos,
2001,
ISLPED '01.
Anantha Chandrakasan,
Hideto Hidaka,
2012,
ISSCC.
Nathan Ickes,
Srinivas Devadas,
Anantha Chandrakasan,
2014,
2014 Symposium on VLSI Circuits Digest of Technical Papers.
Anantha Chandrakasan,
Fred S. Lee,
F. S. Lee,
2004,
Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).
Jie Gu,
Anantha Chandrakasan,
Alice Wang,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Naveen Verma,
Anantha Chandrakasan,
Joel L. Dawson,
2010,
IEEE Journal of Solid-State Circuits.
Miodrag Potkonjak,
Anantha Chandrakasan,
1995,
Proceedings., International Conference on Image Processing.
Anantha Chandrakasan,
Hoi-Jun Yoo,
Jerald Yoo,
2012,
2012 IEEE International Solid-State Circuits Conference.
Anantha Chandrakasan,
Manish Bhardwaj,
Rex Min,
2001,
IEEE Trans. Very Large Scale Integr. Syst..
Anantha Chandrakasan,
Rabia Tugce Yazicigil,
Phillip M. Nadeau,
2017,
ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference.
Anantha Chandrakasan,
Chih-Chi Cheng,
Rahul Rithe,
2011,
IEEE Asian Solid-State Circuits Conference 2011.
Kevin G. Stawiasz,
Anantha Chandrakasan,
Masood Qazi,
2010,
2010 IEEE International Solid-State Circuits Conference - (ISSCC).
Anantha Chandrakasan,
Shamik Das,
Rafael Reif,
2003,
IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..
Anantha Chandrakasan,
Jeffrey H. Lang,
Rajeevan Amirtharajah,
1999,
Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
Naveen Verma,
Anantha Chandrakasan,
Benton H. Calhoun,
2006,
ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
Anantha Chandrakasan,
Hoi-Jun Yoo,
2015,
ISSCC.
Anantha Chandrakasan,
Curt Schurgers,
2004,
2004 IEEE International Conference on Acoustics, Speech, and Signal Processing.
Denis C. Daly,
Anantha Chandrakasan,
Manish Bhardwaj,
2010,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Edwin Hsing-Mean Sha,
1998,
IEEE Trans. Very Large Scale Integr. Syst..
Anantha Chandrakasan,
Manish Bhardwaj,
Timothy Garnett,
2001,
ICC 2001. IEEE International Conference on Communications. Conference Record (Cat. No.01CH37240).
Vladimir Stojanovic,
Anantha Chandrakasan,
Fred Chen,
2012,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Vivienne Sze,
V. Sze,
2011,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Benton H. Calhoun,
B. Calhoun,
2004,
Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
Anantha Chandrakasan,
Vivienne Sze,
Chao-Tsung Huang,
2013,
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
Anantha Chandrakasan,
Amit Sinha,
Alice Wang,
2000,
ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).
Anantha Chandrakasan,
Mehul Tikekar,
Priyanka Raina,
2016,
ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.
Nathan Ickes,
David D. Wentzloff,
Anantha Chandrakasan,
2004,
17th International Conference on VLSI Design. Proceedings..
Anantha Chandrakasan,
Shamik Das,
Rafael Reif,
2003,
ASP-DAC '03.
Anantha Chandrakasan,
Wendi B. Heinzelman,
Amit Sinha,
2001,
J. VLSI Signal Process..
Brian P. Ginsburg,
Anantha Chandrakasan,
A. Chandrakasan,
2008,
2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
James R. Glass,
Anantha Chandrakasan,
Michael Price,
2015,
IEEE Journal of Solid-State Circuits.
Arun Paidimarri,
Nathan Ickes,
Anantha Chandrakasan,
2015,
2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.
Anantha Chandrakasan,
James Goodman,
Gangadhar Konduri,
1999,
ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).
Anantha Chandrakasan,
Ajith Amerasekera,
Masood Qazi,
2013,
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
Nathan Ickes,
Anantha Chandrakasan,
Rahul Rithe,
2013,
2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
Anantha Chandrakasan,
Paul-Peter Sotiriadis,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Anantha Chandrakasan,
Muriel Médard,
Georgios Angelopoulos,
2015,
2015 IEEE International Conference on Communications (ICC).
Anantha Chandrakasan,
Vivienne Sze,
2007,
Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).
Anantha Chandrakasan,
Yogesh K. Ramadass,
A. Chandrakasan,
2010,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Benton H. Calhoun,
Frank Honoré,
2003,
ISLPED '03.
Anantha Chandrakasan,
Vivienne Sze,
V. Sze,
2012,
J. Signal Process. Syst..
Anantha Chandrakasan,
Abhishek Pathak,
Utsav Banerjee,
2019,
2019 IEEE International Solid- State Circuits Conference - (ISSCC).
Anantha Chandrakasan,
Madhukar Budagavi,
Minhua Zhou,
2008,
2008 15th IEEE International Conference on Image Processing.
Anantha Chandrakasan,
Puneet P. Newaskar,
Raúl Blázquez,
2003,
2003 IEEE International Conference on Acoustics, Speech, and Signal Processing, 2003. Proceedings. (ICASSP '03)..
Anantha Chandrakasan,
Rajeevan Amirtharajah,
Jamie Collier,
2005,
IEEE Pervasive Computing.
Anantha Chandrakasan,
Saurav Bandyopadhyay,
A. Chandrakasan,
2011,
2011 Symposium on VLSI Circuits - Digest of Technical Papers.
Anantha Chandrakasan,
Ryota Kasai,
2000,
ASP-DAC '00.
Anantha Chandrakasan,
Jing Kong,
Taeg Sang Cho,
2009,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Debashis Saha,
1998,
Proceedings Eleventh International Conference on VLSI Design.
Anantha Chandrakasan,
H.-H. Chen,
H.-H. Wu,
2010,
International Journal of Computer Applications.
Anantha Chandrakasan,
Vivienne Sze,
2012,
IEEE J. Solid State Circuits.
Anantha Chandrakasan,
Frank M. Yaul,
A. Chandrakasan,
2014,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Chih-Chi Cheng,
Rahul Rithe,
2012,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Fred S. Lee,
2005,
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..
Anantha Chandrakasan,
Rex Min,
2002,
ISLPED '02.
Anantha Chandrakasan,
Joel L. Dawson,
Marcus Yip,
2011,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
Frank M. Yaul,
A. Chandrakasan,
2016,
2016 IEEE International Solid-State Circuits Conference (ISSCC).
Anantha Chandrakasan,
Patrick P. Mercier,
2011,
IEEE Journal of Solid-State Circuits.
Anantha Chandrakasan,
James Goodman,
1998,
Wirel. Networks.
Denis C. Daly,
Anantha Chandrakasan,
Manish Bhardwaj,
2009,
2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
Brian P. Ginsburg,
David D. Wentzloff,
Anantha Chandrakasan,
2005,
Design, Automation and Test in Europe.
Vladimir Stojanovic,
Anantha Chandrakasan,
Fred Chen,
2010,
IEEE Custom Integrated Circuits Conference 2010.
Anantha Chandrakasan,
Vahid Tarokh,
Paul-Peter Sotiriadis,
2003,
IEEE Trans. Inf. Theory.
Anantha Chandrakasan,
Alan V. Oppenheim,
S. Hamid Nawab,
1997,
J. VLSI Signal Process..
Hae-Seung Lee,
Anantha Chandrakasan,
Payam Lajevardi,
2010,
2010 IEEE Asian Solid-State Circuits Conference.
Anantha Chandrakasan,
Utsav Banerjee,
Rabia Tugce Yazicigil,
2021,
2021 IEEE 34th International Symposium on Computer-Based Medical Systems (CBMS).
Anantha Chandrakasan,
Vinod Vaikuntanathan,
Chiraag Juvekar,
2021,
IACR Cryptol. ePrint Arch..
Anantha Chandrakasan,
Mahesh Mehendale,
Vinod Menezes,
2021,
2021 34th International Conference on VLSI Design and 2021 20th International Conference on Embedded Systems (VLSID).
Anantha Chandrakasan,
Utsav Banerjee,
2021,
2021 IEEE Custom Integrated Circuits Conference (CICC).
Anantha Chandrakasan,
Timothy K. Lu,
Rabia Tugce Yazicigil,
2021,
2021 IEEE Custom Integrated Circuits Conference (CICC).
Anantha Chandrakasan,
Jeffrey H. Lang,
Baher Haroun,
2021,
2021 IEEE International Solid- State Circuits Conference (ISSCC).
Anantha Chandrakasan,
Konstantina M. Stankovic,
Marcus Yip,
2017,
Scientific Reports.
Anantha Chandrakasan,
Vivek De,
Shekhar Borkar,
2002
.
William J. Bowhill,
Frank Fox,
Anantha Chandrakasan,
2001
.
Jing Kong,
Anantha Chandrakasan,
Ahmad Zubair,
2016,
Nano letters.
Anantha Chandrakasan,
Hae-Seung Lee,
Sunghyuk Lee,
2014,
2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
William J. Bowhill,
Frank Fox,
Anantha Chandrakasan,
2001
.
Anantha Chandrakasan,
Benton H. Calhoun,
Frank Honoré,
2003,
FPGA '03.
Anantha Chandrakasan,
Amit Sinha,
2001,
Mobile Data Management.
Anantha Chandrakasan,
Paul P. Sotiriadis,
Theodoros Konstantakopoulos,
2001
.