Viji Srinivasan

发表

Pradip Bose, Philip N. Strenski, Philip G. Emma, 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

Swagath Venkataramani, Sunil Shukla, Kailash Gopalakrishnan, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Gary S. Tyson, Edward S. Davidson, Viji Srinivasan, 1999 .

Philip G. Emma, Thomas R. Puzak, Viji Srinivasan, 2006 .

Ahmed Louri, Derek Chiou, Hyesoon Kim, 2018, 2010 International Conference on e-Business (ICE-B).

Sandhya Dwarkadas, David H. Albonesi, Rajeev Garg, 2005 .

Allan Hartstein, Thomas R. Puzak, Viji Srinivasan, 2006 .