M. Horowitz

发表

E. Alon, J. Kim, S. Pamarti, 2006, IEEE Journal of Solid-State Circuits.

M. Horowitz, W.D. Mack, R.A. Blauschild, 1982, IEEE Journal of Solid-State Circuits.

M. Horowitz, R. Blauschild, B. Mack, 1982, 1982 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

M. Horowitz, S. Sidiropoulos, Dean Liu, 2000, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103).

T. Izawa, M. Horowitz, S. Mitarai, 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).

E. Alon, M. Horowitz, S. Naffziger, 2006, IEEE Journal of Solid-State Circuits.

M. Horowitz, S. Sidiropoulos, 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.