Ki-Seok Chung

发表

Chien-Liang Liu, Hon Wai Leong, Unni Narayanan, 1997, Proceedings of 1997 International Symposium on Low Power Electronics and Design.

Taewhan Kim, Bontae Koo, Nak-Woong Eum, 2009, TODE.

Jong-Wha Chong, Ki-Seok Chung, Byung-Gyu Ahn, 2006 .

Hi-Seok Kim, Youngho Ahn, Tae Hee Han, 2010, 2010 International SoC Design Conference.

Hi-Seok Kim, Youngho Ahn, Ki-Seok Chung, 2010 .

Ki-Seok Chung, Min-Kyu Lee, Hyun-Woong Yang, 2018, ICCIP '18.

Ki-Seok Chung, Won-Jin Kim, Keol Cho, 2010, IEEE Transactions on Consumer Electronics.

Youngho Ahn, Ki-Seok Chung, Jung-Hyun Hong, 2014, IEEE Transactions on Consumer Electronics.

Ki-Seok Chung, Jung Soo Kim, Min-Kyu Lee, 2018, ICIGP 2018.

Taewhan Kim, Chien-Liang Liu, Ki-Seok Chung, 2001, DAC '01.

Taewhan Kim, Ki-Seok Chung, Pilok Lim, 2009, J. Circuits Syst. Comput..

Ki-Seok Chung, Chan-Min Jung, Young-Si Hwang, 2008, 2008 Asia and South Pacific Design Automation Conference.

Taewhan Kim, C. L. Liu, Ki-Seok Chung, 2000, Proceedings of the 43rd IEEE Midwest Symposium on Circuits and Systems (Cat.No.CH37144).

Ki-Seok Chung, Jung-Hyun Hong, 2015, 2015 IEEE International Conference on Networking, Architecture and Storage (NAS).

Jin Woo Song, Ki-Seok Chung, Yong Ho Song, 2009, ICHIT '09.

Hi-Seok Kim, Ki-Seok Chung, Sea-Ho Kim, 2011, 2011 International SoC Design Conference.

Hi-Seok Kim, Tae Hee Han, Ki-Seok Chung, 2010, 2010 2nd International Conference on Mechanical and Electronics Engineering.

Ki-Seok Chung, Joo-Yul Park, Ki-Seok Chung, 2011, The 17th Asia Pacific Conference on Communications.

Taewhan Kim, Rajesh K. Gupta, C. L. Liu, 2002, J. VLSI Signal Process..

Youngho Ahn, Ki-Seok Chung, Young-Si Hwang, 2009 .

Ki-Seok Chung, Keol Cho, 2014, The 18th IEEE International Symposium on Consumer Electronics (ISCE 2014).

Ki-Seok Chung, Min-Kyu Lee, Dong-Ik Jeon, 2015, 2015 IEEE International Conference on Networking, Architecture and Storage (NAS).

Ki-Seok Chung, Sang-Ki Park, Sang-Soo Park, 2018, ICCIP '18.

Taewhan Kim, Ki-Seok Chung, Chun-Liang Lin, 1999, Twelfth Annual IEEE International ASIC/SOC Conference (Cat. No.99TH8454).

Ki-Seok Chung, Joo-Yul Park, Ki-Seok Chung, 2011, EURASIP J. Wirel. Commun. Netw..

Ki-Seok Chung, Young-Si Hwang, 2013, IEEE Transactions on Industrial Informatics.

Ki-Seok Chung, Won-Jin Kim, Jung-Hyun Hong, 2013, KSII Trans. Internet Inf. Syst..

Sung-Jin Lee, Ki-Seok Chung, Sang-Soo Park, 2018, ICCIP '18.

Taewhan Kim, C. L. Liu, Ki-Seok Chung, 2000, Proceedings of 13th Annual IEEE International ASIC/SOC Conference (Cat. No.00TH8541).

Ki-Seok Chung, Won-Jin Kim, Jung-Hyun Hong, 2012 .

Ki-Seok Chung, Young-Si Hwang, Young-Ho Ahn, 2010, 2010 2nd IEEE InternationalConference on Network Infrastructure and Digital Content.

Ki-Seok Chung, Young-Si Hwang, Sung-Kwan Ku, 2010, IEEE Transactions on Consumer Electronics.

Ki-Seok Chung, Min-Kyu Lee, Ji-Chan Kim, 2018, J. Circuits Syst. Comput..

Ki-Seok Chung, Young-Geun Lee, Joo-Yul Park, 2007, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007).

Ki-Seok Chung, Young-Si Hwang, Young-Ho Ahn, 2010, 2010 2nd IEEE InternationalConference on Network Infrastructure and Digital Content.

Ki-Seok Chung, Dong-Ik Jeon, Kyeong-Bin Park, 2018, IEEE Computer Architecture Letters.

Youngho Ahn, Ki-Seok Chung, GukHyun Lee, 2015 .

Ki-Seok Chung, Sung-Kwan Ku, Han-Sam Jung, 2008 .

Oh-Kyong Kwon, Seung-Hwan Lee, Seong-Kwan Hong, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Ki-Seok Chung, Won-Hyuk Lee, Si-Dong Roh, 2020, IECON 2020 The 46th Annual Conference of the IEEE Industrial Electronics Society.

Ki-Seok Chung, Bong-sik Sihn, Jong-wha Jong, 2006 .

Ki-Seok Chung, Dong-Ik Jeon, 2017, IEEE Computer Architecture Letters.

Ki-Seok Chung, Young-Si Hwang, So-Jin Lee, 2008 .

Ki-Seok Chung, Joo-Yul Park, So-Jin Lee, 2008, 2008 International Conference on Electronic Design.

Ki-Seok Chung, Chan-Min Jung, Young-Geun Lee, 2007 .

Ki-Seok Chung, Phil-Koo Yeo, Young-Si Hwang, 2011 .

Ki-Seok Chung, Young-Si Hwang, Sung-Kwan Ku, 2007 .

Ki-Seok Chung, Keol Cho, Ki-Seok Chung, 2017, KSII Trans. Internet Inf. Syst..

Ki-Seok Chung, Joo-Yul Park, So-Jin Lee, 2008, 2008 International Conference on Electronic Design.

Ki-Seok Chung, Keol Cho, Si-Dong Roh, 2016, 2016 IEEE Region 10 Conference (TENCON).

Rajesh K. Gupta, C. L. Liu, Ki-Seok Chung, 1996, Proceedings of International Conference on Computer Aided Design.

Ki-Seok Chung, J. K. Kim, D. S. Kim, 2003, VLSI.

Taewhan Kim, Jaewon Seo, Ki-Seok Chung, 2004, Proceedings. 41st Design Automation Conference, 2004..

Ki-Seok Chung, Young-Geun Lee, Han-Sam Jung, 2008, 2008 9th International Conference on Solid-State and Integrated-Circuit Technology.

Ki-Seok Chung, Won-Jin Kim, Keol Cho, 2010 .

Ki-Seok Chung, Byung-Gyu Ahn, Sea-Ho Kim, 2008, APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems.

Ki-Seok Chung, Young-Si Hwang, Joo-hyeong Yoon, 2007 .

Ki-Seok Chung, Keol Cho, Ki-Seok Chung, 2016, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Ki-Seok Chung, Kyeong-Bin Park, Geon Choi, 2018, ICCIP '18.

Ki-Seok Chung, Won-Jin Kim, 2007, 2007 IEEE International SOC Conference.

Taewhan Kim, Sungpack Hong, Unni Narayanan, 2000, Proceedings of the 43rd IEEE Midwest Symposium on Circuits and Systems (Cat.No.CH37144).

Ki-Seok Chung, Joo-Yul Park, So-Jin Lee, 2009, IEEE Transactions on Consumer Electronics.

Youngho Ahn, Ki-Seok Chung, Young-Si Hwang, 2009, 2009 International SoC Design Conference (ISOCC).

Ki-Seok Chung, Jung-Hyun Hong, Joo-Yul Park, 2016, KSII Trans. Internet Inf. Syst..

Ki-Seok Chung, Joo-Yul Park, Han-Sam Jung, 2010 .

Youngho Ahn, Ki-Seok Chung, Byungjin Kim, 2011, J. Comput. Sci. Eng..

Ki-Seok Chung, Dong-Ik Jeon, Kwang-Soo Han, 2012, 2012 International Symposium on Communications and Information Technologies (ISCIT).

Ki-Seok Chung, Sang-Soo Park, Kyeong-Bin Park, 2018, ICDSP 2018.

Ki-Seok Chung, Keol Cho, 2015, IEICE Electron. Express.

Ki-Seok Chung, Young-Geun Lee, Han-Sam Jung, 2009, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Ki-Seok Chung, Jung-Hyun Hong, Joo-Yul Park, 2016 .

Ki-Seok Chung, Joo-Yul Park, Ki-Seok Chung, 2011, IEEE Transactions on Consumer Electronics.

Taewhan Kim, Chien-Liang Liu, Ki-Seok Chung, 2000, ACM Great Lakes Symposium on VLSI.

Taewhan Kim, C. L. Liu, Ki-Seok Chung, 2001, J. VLSI Signal Process..

Taewhan Kim, Sungpack Hong, Unni Narayanan, 2000, J. Circuits Syst. Comput..

Ki-Seok Chung, Won-Jin Kim, Keol Cho, 2011, IEEE Transactions on Consumer Electronics.

Youngho Ahn, Ki-Seok Chung, Alex Heunhe Han, 2008 .

Youngho Ahn, Ki-Seok Chung, 2016, IEEE Transactions on Mobile Computing.

Ki-Seok Chung, Dong-Ik Jeon, Kwang-Soo Han, 2013, 2013 IEEE International Conference on Consumer Electronics (ICCE).

Youngho Ahn, Ki-Seok Chung, Young-Si Hwang, 2008, 2008 International SoC Design Conference.

Youngho Ahn, Ki-Seok Chung, Daecheol You, 2011, 2011 12th International Workshop on Microprocessor Test and Verification.

Ki-Seok Chung, Sung-Kwan Ku, Han-Sam Jung, 2008, 2008 IEEE International SOC Conference.

Ki-Seok Chung, Jin-Woo Song, Won-jin kim, 2008, 2008 International SoC Design Conference.

Dong Kyue Kim, Ki-Seok Chung, Byong-Deok Choi, 2010 .

Ki-Seok Chung, Daecheol You, 2015, IEEE Computer Architecture Letters.

Ki-Seok Chung, Jung-Hyun Hong, Sang-Soo Park, 2017, 2017 IEEE International Conference on Information Reuse and Integration (IRI).

Ki-Seok Chung, Young-Si Hwang, So-Jin Lee, 2008, 2008 International Conference on Audio, Language and Image Processing.

Taewhan Kim, C. L. Liu, Ki-Seok Chung, 2002, J. Circuits Syst. Comput..

Ki-Seok Chung, Joo-Yul Park, Ki-Seok Chung, 2011, EURASIP J. Wirel. Commun. Netw..

Youngho Ahn, Ki-Seok Chung, Joo-Yul Park, 2013, EURASIP J. Wirel. Commun. Netw..

Ki-Seok Chung, Han-Sam Jung, Yong-Woon Cho, 2009 .

Ki-Seok Chung, Kwangrae Kim, Jeonghyun Woo, 2021, 2021 IEEE 39th International Conference on Computer Design (ICCD).

Ki-Seok Chung, Kyeong Bin Park, Ki-Seok Chung, 2021, IEEE Access.

Sungpack Hong, Ki-Seok Chung, Taewhan Kim, 2000, J. Circuits Syst. Comput..

C.L. Liu, Ki-Seok Chung, Ki-Seok Chung, 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).