A. Reeves

发表

Bo Zhai, Sanjay Pant, D. Blaauw, 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..