Jiale Yan

发表

Ying Zhang, Yuan Xie, Leibo Liu, 2019 .

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.