Brandon Reagen

发表

Gu-Yeon Wei, David M. Brooks, Robert Adolf, 2016, 2016 IEEE International Symposium on Workload Characterization (IISWC).

Gu-Yeon Wei, David M. Brooks, Xuan Zhang, 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

Alexander M. Rush, Gu-Yeon Wei, David Brooks, 2019, 2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Gu-Yeon Wei, David M. Brooks, José Miguel Hernández-Lobato, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Carole-Jean Wu, Gu-Yeon Wei, Hsien-Hsin S. Lee, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Alexander M. Rush, Gu-Yeon Wei, Michael Mitzenmacher, 2018, ICML.

Gu-Yeon Wei, David M. Brooks, Brandon Reagen, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Hsien-Hsin S. Lee, Brandon Reagen, Wooseok Choi, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Gu-Yeon Wei, David M. Brooks, Paul N. Whatmough, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

David Brooks, Gu-Yeon Wei, Yuhao Zhu, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Gu-Yeon Wei, David M. Brooks, Robert Adolf, 2017, Synthesis Lectures on Computer Architecture.

Gu-Yeon Wei, David M. Brooks, Brandon Reagen, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Carole-Jean Wu, Kevin Chen, David Brooks, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Gu-Yeon Wei, David M. Brooks, Robert Adolf, 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

Gu-Yeon Wei, Brandon Reagen, David Brooks, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Gu-Yeon Wei, David M. Brooks, Brandon Reagen, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Meghan Cowan, Armin Alaghi, Brandon Reagen, 2021, PLDI.

Gu-Yeon Wei, David M. Brooks, Sae Kyu Lee, 2018, Design Automation Conference.

Gu-Yeon Wei, David M. Brooks, Brandon Reagen, 2015, IEEE Micro.

David Brooks, Gu-Yeon Wei, Brandon Reagen, 2017, 2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS).

Zahra Ghodsi, Brandon Reagen, Siddharth Garg, 2020, NeurIPS.

Gu-Yeon Wei, Sae Kyu Lee, Brandon Reagen, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Carole-Jean Wu, David Brooks, Liang Xiong, 2019, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Martin D. Schatz, Carole-Jean Wu, Hsien-Hsin S. Lee, 2019, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

David Brooks, Gu-Yeon Wei, Brandon Reagen, 2018, Design Automation Conference.

Zahra Ghodsi, Siddharth Garg, Brandon Reagen, 2021, NeurIPS.

Chinmay Hegde, Zahra Ghodsi, Siddharth Garg, 2021, ArXiv.

Zahra Ghodsi, Siddharth Garg, Brandon Reagen, 2021, ICML.

Zahra Ghodsi, Siddharth Garg, Brandon Reagen, 2021, ArXiv.

Zahra Ghodsi, Siddharth Garg, Brandon Reagen, 2020, NeurIPS.