Wenrui Gong

发表

Gang Wang, Ryan Kastner, Wenrui Gong, 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Gang Wang, Ryan Kastner, Wenrui Gong, 2004, ERSA.

Majid Sarrafzadeh, Ryan Kastner, Philip Brisk, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Gang Wang, Ryan Kastner, Wenrui Gong, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Gang Wang, Wenrui Gong, R. Kastner, 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

Gang Wang, Ryan Kastner, Wenrui Gong, 2006, 2006 14th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

Gang Wang, Ryan Kastner, Wenrui Gong, 2006, J. Embed. Comput..

Gang Wang, Ryan Kastner, Wenrui Gong, 2006 .

Yan Meng, Ryan Kastner, Timothy Sherwood, 2005, J. Low Power Electron..

Gang Wang, Ryan Kastner, Wenrui Gong, 2005, ACM Great Lakes Symposium on VLSI.

Gang Wang, Ryan Kastner, Wenrui Gong, 2006, ICCAD.

Gang Wang, Ryan Kastner, Wenrui Gong, 2008 .

Gang Wang, Wenrui Gong, R. Kastner, 1997, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yan Meng, Gang Wang, Ryan Kastner, 2005, International Conference on Engineering of Reconfigurable Systems and Algorithms.

Gang Wang, Ryan Kastner, Wenrui Gong, 2006, 2006 43rd ACM/IEEE Design Automation Conference.