Ganesh Lakshminarayana

发表

Sujit Dey, Niraj K. Jha, Ganesh Lakshminarayana, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Niraj K. Jha, Ganesh Lakshminarayana, Bharat P. Dave, 1997, DAC.

Srivaths Ravi, Ganesh Lakshminarayana, Anand Raghunathan, 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

Sujit Dey, Niraj K. Jha, Ganesh Lakshminarayana, 1999, DAC '99.

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Niraj K. Jha, Ganesh Lakshminarayana, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 2001, IEEE Trans. Very Large Scale Integr. Syst..

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Niraj K. Jha, Ganesh Lakshminarayana, Kamal S. Khouri, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Niraj K. Jha, Ganesh Lakshminarayana, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Sujit Dey, Ganesh Lakshminarayana, Anand Raghunathan, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Niraj K. Jha, Ganesh Lakshminarayana, Tat Kee Tan, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Michael S. Hsiao, Ganesh Lakshminarayana, Anand Raghunathan, 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Niraj K. Jha, Ganesh Lakshminarayana, Kamd S. Khouri, 1997 .

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Ganesh Lakshminarayana, Anand Raghunathan, Srivaths Ravi, 2002 .

Niraj K. Jha, Ganesh Lakshminarayana, K. S. Khouri, 1997, ICCAD 1997.

Niraj K. Jha, Ganesh Lakshminarayana, N. Jha, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Niraj K. Jha, Ganesh Lakshminarayana, Kamal S. Khouri, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 1996, Proceedings of Annual Symposium on Fault Tolerant Computing.

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

Niraj K. Jha, Ganesh Lakshminarayana, Kamal S. Khouri, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Niraj K. Jha, Ganesh Lakshminarayana, Bharat P. Dave, 1999, IEEE Trans. Very Large Scale Integr. Syst..

Sujit Dey, Niraj K. Jha, Ganesh Lakshminarayana, 1999, IEEE Trans. Very Large Scale Integr. Syst..

Sujit Dey, Ganesh Lakshminarayana, Anand Raghunathan, 2000, Proceedings 37th Design Automation Conference.

Sujit Dey, Niraj K. Jha, Ganesh Lakshminarayana, 1998, ICCAD.

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2000, Proceedings 37th Design Automation Conference.

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Ganesh Lakshminarayana, Anand Raghunathan, Kanishka Lahiri, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Niraj K. Jha, Ganesh Lakshminarayana, Kamal S. Khouri, 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Niraj K. Jha, Ganesh Lakshminarayana, Kamal S. Khouri, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Niraj K. Jha, Ganesh Lakshminarayana, Kamal S. Khouri, 1998, Proceedings Design, Automation and Test in Europe.

Sujit Dey, Niraj K. Jha, Ganesh Lakshminarayana, 1998, Proceedings Eleventh International Conference on VLSI Design.

Niraj K. Jha, Ganesh Lakshminarayana, Kamal S. Khouri, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Niraj K. Jha, Ganesh Lakshminarayana, N. Jha, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2000, IEEE Trans. Computers.

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srivaths Ravi, Ganesh Lakshminarayana, Anand Raghunathan, 2002, 2002 IEEE International Conference on Communications. Conference Proceedings. ICC 2002 (Cat. No.02CH37333).

Srivaths Ravi, Niraj K. Jha, Ganesh Lakshminarayana, 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

Srivaths Ravi, Ganesh Lakshminarayana, Vijay Raghunathan, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Ganesh Lakshminarayana, Anand Raghunathan, Kanishka Lahiri, 2001, DAC '01.

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Srivaths Ravi, Ganesh Lakshminarayana, Vijay Raghunathan, 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.