Enhanced crosstalk fault model and methodology to generate tests for arbitrary inter-core interconnect topology

In this paper we develop a new fault model for capacitive crosstalk in inter-core interconnects. We also develop a framework to generate compact tests for interconnects with arbitrary topologies. Experimental results show that the proposed approach can significantly reduce test application time for large interconnects. We are in the process of extending the framework to interconnects that include tri-state as well as bi-directional nets.

[1]  Sujit Dey,et al.  Fault modeling and simulation for crosstalk in system-on-chip interconnects , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[2]  Shimon Even,et al.  Graph Algorithms , 1979 .

[3]  Sol Rosenstark Transmission lines in computer engineering , 1994 .

[4]  Sujit Dey,et al.  Self-test methodology for at-speed test of crosstalk in chip interconnects , 2000, DAC.

[5]  Melvin A. Breuer,et al.  Analytic models for crosstalk delay and pulse analysis under non-ideal inputs , 1997, Proceedings International Test Conference 1997.

[6]  Sujit Dey,et al.  Testing for Interconnect Crosstalk Defects Using On-Chip Embedded Processor Cores , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[7]  Donald E. Thomas,et al.  Exploiting the special structure of conflict and compatibility graphs in high-level synthesis , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[8]  Melvin A. Breuer,et al.  Test generation in VLSI circuits for crosstalk noise , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[9]  Tariq Samad,et al.  Compacting MIMOLA microcode , 1987, MICRO 20.

[10]  Shiann-Rong Kuang,et al.  Clique partitioning based integrated architecture synthesis for VLSI chips , 1993, 1993 International Symposium on VLSI Technology, Systems, and Applications Proceedings of Technical Papers.