Efficient and Deadlock-Free Tree-Based Multicast Routing Methods for Networks-on-Chip (NoC)
暂无分享,去创建一个
[1] Xiaola Lin,et al. Deadlock-Free Multicast Wormhole Routing in 2-D Mesh Multicomputers , 1994, IEEE Trans. Parallel Distributed Syst..
[2] Vincenzo Catania,et al. Application Specific Routing Algorithms for Networks on Chip , 2009, IEEE Transactions on Parallel and Distributed Systems.
[3] Manfred Glesner,et al. Planar adaptive network-on-chip supporting deadlock-free and efficient tree-based multicast routing method , 2012, Microprocess. Microsystems.
[4] Robert A. van de Geijn,et al. Broadcasting on Meshes with Wormhole Routing , 1996, J. Parallel Distributed Comput..
[5] Miltos D. Grammatikakis,et al. Design of Cost-Efficient Interconnect Processing Units , 2008 .
[6] Axel Jantsch,et al. Power-efficient tree-based multicast support for Networks-on-Chip , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
[7] José Duato. A Theory of Deadlock-Free Adaptive Multicast Routing in Wormhole Networks , 1995, IEEE Trans. Parallel Distributed Syst..
[8] Valentin Puente,et al. MRR: Enabling fully adaptive multicast routing for CMP interconnection networks , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[9] Natalie D. Enright Jerger,et al. Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support , 2008, 2008 International Symposium on Computer Architecture.
[10] Andrew A. Chien,et al. Planar-adaptive routing: low-cost adaptive networks for multiprocessors , 1992, ISCA '92.
[11] H. Zimmermann,et al. OSI Reference Model - The ISO Model of Architecture for Open Systems Interconnection , 1980, IEEE Transactions on Communications.
[12] Pradip K. Srimani,et al. A New Adaptive Hardware Tree-Based Multicast Routing in K-Ary N-Cubes , 2001, IEEE Trans. Computers.
[13] Axel Jantsch,et al. Connection-oriented multicasting in wormhole-switched networks on chip , 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).
[14] Jörg Henkel,et al. Run-time adaptive on-chip communication scheme , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[15] José Duato,et al. Efficient unicast and multicast support for CMPs , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.
[16] Josep Torrellas,et al. An efficient implementation of tree-based multicast routing for distributed shared-memory multiprocessors , 1996, Proceedings of SPDP '96: 8th IEEE Symposium on Parallel and Distributed Processing.
[17] Vincenzo Catania,et al. Implementation and Analysis of a New Selection Strategy for Adaptive Routing in Networks-on-Chip , 2008, IEEE Transactions on Computers.
[18] Manfred Glesner,et al. Adaptive and Deadlock-Free Tree-Based Multicast Routing for Networks-on-Chip , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[19] Cauligi S. Raghavendra,et al. Resource Deadlocks and Performance of Wormhole Multicast Routing Algorithms , 1998, IEEE Trans. Parallel Distributed Syst..
[20] Masoud Daneshtalab,et al. Low-distance path-based multicast routing algorithm for network-on-chips , 2009, IET Comput. Digit. Tech..
[21] Manfred Glesner,et al. New Theory for Deadlock-Free Multicast Routing in Wormhole-Switched Virtual-Channelless Networks-on-Chip , 2011, IEEE Transactions on Parallel and Distributed Systems.
[22] D. G. Payne,et al. Broadcasting on Meshes with Worm-hole Routing , 1996 .
[23] Manfred Glesner,et al. Multicast Parallel Pipeline Router Architecture for Network-on-Chip , 2008, 2008 Design, Automation and Test in Europe.
[24] Bill Lin,et al. Custom Networks-on-Chip Architectures With Multicast Routing , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[25] Hyungjun Kim,et al. Recursive partitioning multicast: A bandwidth-efficient routing for Networks-on-Chip , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[26] Xiaola Lin,et al. Multicast Communication in Multicomputer Networks , 1993, ICPP.
[27] Manfred Glesner,et al. Networks-On-Chip Based on Dynamic Wormhole Packet Identity Mapping Management , 2009, VLSI Design.
[28] Ka-Ming Keung,et al. Breaking adaptive multicast deadlock by virtual channel address/data FIFO decoupling , 2009, 2009 2nd International Workshop on Network on Chip Architectures.
[29] Manfred Glesner,et al. Wormhole cut-through switching: Flit-level messages interleaving for virtual-channelless network-on-chip , 2011, Microprocess. Microsystems.
[30] Axel Jantsch,et al. TDM Virtual-Circuit Configuration for Network-on-Chip , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.