Omitting Refresh: A Case Study for Commodity and Wide I/O DRAMs
暂无分享,去创建一个
Norbert Wehn | Christian Brugger | Matthias Herrmann | Christian Weis | Matthias Jung | Deepak M. Mathew | Éder F. Zulian | Éder Zulian | N. Wehn | Matthias Jung | C. Weis | M. Herrmann | Christian Brugger
[1] Depei Qian,et al. Reducing DRAM refreshing in an error correction manner , 2015, Science China Information Sciences.
[2] Onur Mutlu,et al. AVATAR: A Variable-Retention-Time (VRT) Aware Refresh for DRAM Systems , 2015, 2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.
[3] Song Liu,et al. Flikker: saving DRAM refresh-power through critical data partitioning , 2011, ASPLOS XVI.
[4] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[5] Chia-Lin Yang,et al. SECRET: Selective error correction for refresh energy reduction in DRAMs , 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).
[6] David Atienza,et al. 3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[7] Sung Woo Chung,et al. Exploiting Refresh Effect of DRAM Read Operations: A Practical Approach to Low-Power Refresh , 2016, IEEE Transactions on Computers.
[8] Narayanan Vijaykrishnan,et al. Refresh Enabled Video Analytics (REVA): Implications on power and performance of DRAM supported embedded visual systems , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).
[9] Richard Veras,et al. RAIDR: Retention-aware intelligent DRAM refresh , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[10] Norbert Wehn,et al. Exploiting phase transitions for the efficient sampling of the fixed degree sequence model , 2015, 2015 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining (ASONAM).
[11] José F. Martínez,et al. Understanding and mitigating refresh overheads in high-density DDR4 DRAM systems , 2013, ISCA.
[12] Hsien-Hsin S. Lee,et al. Smart Refresh: An Enhanced Memory Controller Design for Reducing Energy in Conventional and 3D Die-Stacked DRAMs , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
[13] Qiang Wu,et al. Revisiting Memory Errors in Large-Scale Production Data Centers: Analysis and Modeling of New Trends from the Field , 2015, 2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.
[14] Bruce Jacob,et al. Coordinated refresh: Energy efficient techniques for DRAM refresh scheduling , 2013, International Symposium on Low Power Electronics and Design (ISLPED).
[15] Young-Hyun Jun,et al. A 1.2 V 12.8 GB/s 2 Gb Mobile Wide-I/O DRAM With 4 $\times$ 128 I/Os Using TSV Based Stacking , 2011, IEEE Journal of Solid-State Circuits.
[16] T. Hamamoto,et al. On the retention time distribution of dynamic random access memory (DRAM) , 1998 .
[17] Luca Benini,et al. Energy-Efficient Value-Based Selective Refresh for Embedded DRAMs , 2005, PATMOS.
[18] Michael Engel,et al. Improving the fault resilience of an H.264 decoder using static analysis methods , 2013, TECS.
[19] Luca Benini,et al. Energy optimization in 3D MPSoCs with Wide-I/O DRAM using temperature variation aware bank-wise refresh , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[20] Norbert Wehn,et al. DRAMSys: A Flexible DRAM Subsystem Design Space Exploration Framework , 2015, IPSJ Trans. Syst. LSI Des. Methodol..
[21] Young-Hyun Jun,et al. A 1.2V 12.8GB/s 2Gb mobile Wide-I/O DRAM with 4×128 I/Os using TSV-based stacking , 2011, 2011 IEEE International Solid-State Circuits Conference.
[22] Onur Mutlu,et al. Improving DRAM performance by parallelizing refreshes with accesses , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).
[23] Norbert Wehn,et al. A Custom Computing System for Finding Similarties in Complex Networks , 2015, 2015 IEEE Computer Society Annual Symposium on VLSI.
[24] Norbert Wehn,et al. Retention time measurements and modelling of bit error rates of WIDE I/O DRAM in MPSoCs , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[25] Norbert Wehn,et al. TLM modelling of 3D stacked wide I/O DRAM subsystems: a virtual platform for memory controller design space exploration , 2013, RAPIDO '13.
[26] Madhu Mutyam,et al. EFGR: An Enhanced Fine Granularity Refresh Feature for High-Performance DDR4 DRAM Devices , 2014, ACM Trans. Archit. Code Optim..
[27] Moinuddin K. Qureshi,et al. Refresh pausing in DRAM memory systems , 2014, TACO.
[28] Sungho Kang,et al. 3-D Stacked DRAM Refresh Management With Guaranteed Data Reliability , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[29] Bruce Jacob,et al. DRAM Refresh Mechanisms, Penalties, and Trade-Offs , 2016, IEEE Transactions on Computers.
[30] Sally A. McKee,et al. DTail: a flexible approach to DRAM refresh management , 2014, ICS '14.
[31] Yuan Xie,et al. ProactiveDRAM: A DRAM-initiated retention management scheme , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).
[32] Lizy Kurian John,et al. ESKIMO - energy savings using semantic knowledge of inconsequential memory occupancy for DRAM subsystem , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[33] Luca Benini,et al. Optimized active and power-down mode refresh control in 3D-DRAMs , 2014, 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC).
[34] Tao Zhang,et al. CREAM: A Concurrent-Refresh-Aware DRAM Memory architecture , 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).
[35] Onur Mutlu,et al. An experimental study of data retention behavior in modern DRAM devices: implications for retention time profiling mechanisms , 2013, ISCA.
[36] Samuel Williams,et al. Hardware/software co-design for energy-efficient seismic modeling , 2011, 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC).
[37] Rami G. Melhem,et al. Refresh Now and Then , 2014, IEEE Transactions on Computers.
[38] James Bennett,et al. The Netflix Prize , 2007 .
[39] Lizy Kurian John,et al. Elastic Refresh: Techniques to Mitigate Refresh Penalties in High Density Memory , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[40] Bruce Jacob,et al. Flexible auto-refresh: Enabling scalable and energy-efficient DRAM refresh reductions , 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).
[41] Norbert Wehn,et al. A Cross-Layer Reliability Design Methodology for Efficient, Dependable Wireless Receivers , 2014, ACM Trans. Embed. Comput. Syst..
[42] Frank Mueller,et al. Making DRAM Refresh Predictable , 2010, 2010 22nd Euromicro Conference on Real-Time Systems.
[43] Eric Rotenberg,et al. Retention-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAM , 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..
[44] Christian Bernard,et al. A 0.9 pJ/bit, 12.8 GByte/s WideIO memory interface in a 3D-IC NoC-based MPSoC , 2013, 2013 Symposium on VLSI Technology.