Run-Time Reconfigurable Systems for Digital Signal Processing Applications: A Survey

Today’s digital signal processing (DSP) applications use computationally complex and/or adaptive algorithms and have stringent requirements in terms of speed, size, cost, power consumption, and throughput. Efficient hardware implementation techniques should be employed to meet the requirements of these applications. Run-Time Reconfiguration (RTR) is a promising technique for reducing the hardware required for implementing DSP systems as well as improving the performance, speed and power consumption of these systems. In this survey, we explain different issues in run-time reconfigurable systems and list the implemented systems which support run-time reconfiguration. We also describe different applications of run-time reconfiguration and discuss the improvements achieved by applying run-time reconfiguration.

[1]  Adrian Stoica,et al.  Progress and challenges in building evolvable devices , 2001, Proceedings Third NASA/DoD Workshop on Evolvable Hardware. EH-2001.

[2]  Zhiyuan Li,et al.  Configuration caching management techniques for reconfigurable computing , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[3]  John D. Villasenor,et al.  Issues in wireless video coding using run-time-reconfigurable FPGAs , 1995, Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.

[4]  P. M. Athanas A functional reconfigurable architecture and compiler for adaptive computing , 1993, Proceedings of Phoenix Conference on Computers and Communications.

[5]  Ralph Wittig,et al.  OneChip: an FPGA processor with reconfigurable logic , 1996, 1996 Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.

[6]  Yu Hen Hu,et al.  Programmable Digital Signal Processors , 2001 .

[7]  Régis Leveugle,et al.  Using run-time reconfiguration for fault injection applications , 2003, IEEE Trans. Instrum. Meas..

[8]  Scott Hauck,et al.  Reconfigurable computing: a survey of systems and software , 2002, CSUR.

[9]  Jeffrey H. Reed,et al.  Design and implementation of a completely reconfigurable soft radio , 2000, RAWCON 2000. 2000 IEEE Radio and Wireless Conference (Cat. No.00EX404).

[10]  Brad Hutchings,et al.  Density enhancement of a neural network using FPGAs and run-time reconfiguration , 1994, Proceedings of IEEE Workshop on FPGA's for Custom Computing Machines.

[11]  Paul J. Layzell,et al.  A New Research Tool for Intrinsic Hardware Evolution , 1998, ICES.

[12]  Wayne Luk,et al.  Compilation tools for run-time reconfigurable designs , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).

[13]  Satnam Singh,et al.  Dynamic specialisation of XC6200 FPGAs by partial evaluation , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

[14]  Monk-Ping Leong,et al.  A bitstream reconfigurable FPGA implementation of the WSAT algorithm , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[15]  Dennis Goeckel,et al.  A dynamically reconfigurable adaptive viterbi decoder , 2002, FPGA '02.

[16]  John Wawrzynek,et al.  Garp: a MIPS processor with a reconfigurable coprocessor , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).

[17]  Stephen M. Scalera,et al.  The design and implementation of a context switching FPGA , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

[18]  Brad L. Hutchings,et al.  A dynamic instruction set computer , 1995, Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.

[19]  Scott Hauck,et al.  Runlength compression techniques for FPGA configurations , 1999, Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00375).

[20]  T. Martin McGinnity,et al.  Accelerating embedded applications using dynamically reconfigurable hardware and evolutionary algorithms , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[21]  Paul J. Layzell,et al.  Explorations in design space: unconventional electronics design through artificial evolution , 1999, IEEE Trans. Evol. Comput..

[22]  Gunnar Tufte,et al.  Evolving an adaptive digital filter , 2000, Proceedings. The Second NASA/DoD Workshop on Evolvable Hardware.

[23]  Michael J. Wirthlin,et al.  The Nano Processor: a low resource reconfigurable processor , 1994, Proceedings of IEEE Workshop on FPGA's for Custom Computing Machines.

[24]  David E. van den Bout,et al.  AnyBoard: an FPGA-based, reconfigurable system , 1992, IEEE Design & Test of Computers.

[25]  Paul Chow,et al.  RACER: a reconfigurable constraint-length 14 Viterbi decoder , 1996, 1996 Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.

[26]  Julian F. Miller,et al.  Evolving Digital Logic Circuits on Xilinx 6000 Family FPGAs , 1998 .

[27]  Sandeep Neema,et al.  Real time reconfigurable image recognition system , 2001, IMTC 2001. Proceedings of the 18th IEEE Instrumentation and Measurement Technology Conference. Rediscovering Measurement in the Age of Informatics (Cat. No.01CH 37188).

[28]  Adrian Stoica,et al.  Reconfigurable VLSI architectures for evolvable hardware: from experimental field programmable transistor arrays to evolution-oriented chips , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[29]  Marley M. B. R. Vellasco,et al.  Artificial evolution of active filters: a case study , 1999, Proceedings of the First NASA/DoD Workshop on Evolvable Hardware.

[30]  Charles E. Stroud,et al.  Dynamic fault tolerance in FPGAs via partial reconfiguration , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[31]  Jürgen Becker,et al.  Design and implementation of a coarse-grained dynamically reconfigurable hardware architecture , 2001, Proceedings IEEE Computer Society Workshop on VLSI 2001. Emerging Technologies for VLSI Systems.

[32]  E. Tau,et al.  A First Generation DPGA implementation , 1995 .

[33]  Satnam Singh,et al.  Dynamic Specialisation of XC6200 FPGAs by Parial Evaluation , 1998, FPL.

[34]  Witold Kinsner,et al.  Run-time reconfiguration: towards reducing the density requirements of FPGAs , 2001, Canadian Conference on Electrical and Computer Engineering 2001. Conference Proceedings (Cat. No.01TH8555).

[35]  Fadi J. Kurdahi,et al.  The MorphoSys dynamically reconfigurable system-on-chip , 1999, Proceedings of the First NASA/DoD Workshop on Evolvable Hardware.

[36]  K. Wakabayashi,et al.  A dynamically reconfigurable logic engine with a multi-context/multi-mode unified-cell architecture , 1999, 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC. First Edition (Cat. No.99CH36278).

[37]  A. Mohri,et al.  A 2 V 250 MHz multimedia processor , 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.

[38]  André DeHon,et al.  The Density Advantage of Configurable Computing , 2000, Computer.

[39]  Jonathan Harris,et al.  ACEcard/sup TM/: a high-performance architecture for run-time reconfiguration , 1998, Proceedings of the First Merged International Parallel Processing Symposium and Symposium on Parallel and Distributed Processing.

[40]  Zhiyuan Li,et al.  Configuration compression for the Xilinx XC6200 FPGA , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[41]  Michael Baxter ICARUS: a dynamically reconfigurable computer architecture , 1999, Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00375).

[42]  Seth Copen Goldstein,et al.  PipeRench: a co/processor for streaming multimedia acceleration , 1999, ISCA.

[43]  Peter M. Athanas,et al.  A run-time reconfigurable engine for image interpolation , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

[44]  Wayne Luk,et al.  Modelling and optimising run-time reconfigurable systems , 1996, 1996 Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.

[45]  Julian Francis Miller,et al.  On the filtering properties of evolved gate arrays , 1999, Proceedings of the First NASA/DoD Workshop on Evolvable Hardware.

[46]  Poras T. Balsara,et al.  Reconfigurable array media processor (RAMP) , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[47]  Yuichiro Shibata,et al.  A virtual hardware system on a dynamically reconfigurable logic device , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[48]  Gunnar Tufte,et al.  Prototyping a GA Pipeline for complete hardware evolution , 1999, Proceedings of the First NASA/DoD Workshop on Evolvable Hardware.

[49]  Scott Hauck,et al.  The Chimaera reconfigurable functional unit , 1997, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[50]  Brad Hutchings,et al.  The flexibility of configurable computing , 1998 .

[51]  Karl S. Hemmert,et al.  A CAD suite for high-performance FPGA design , 1999, Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00375).

[52]  Hossam ElGindy,et al.  Dynamic scheduling of tasks on partially reconfigurable FPGAs , 2000 .

[53]  Brad L. Hutchings,et al.  Improving functional density through run-time constant propagation , 1997, FPGA '97.

[54]  Xin Yao,et al.  The GRD Chip: Genetic Reconfiguration of DSPs for Neural Network Processing , 1999, IEEE Trans. Computers.

[55]  Peter M. Athanas,et al.  Computing kernels implemented with a wormhole RTR CCM , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).

[56]  Jan M. Rabaey,et al.  A reconfigurable multiprocessor IC for rapid prototyping of algorithmic-specific high-speed DSP data paths , 1992 .

[57]  Marley M. B. R. Vellasco,et al.  PAMA-programmable analog multiplexer array , 2001, Proceedings Third NASA/DoD Workshop on Evolvable Hardware. EH-2001.

[58]  Suhwan Kim,et al.  A reconfigurable pipelined IDCT for low-energy video processing , 2000, Proceedings of 13th Annual IEEE International ASIC/SOC Conference (Cat. No.00TH8541).

[59]  Brad Hutchings,et al.  RRANN: a hardware implementation of the backpropagation algorithm using reconfigurable FPGAs , 1994, Proceedings of 1994 IEEE International Conference on Neural Networks (ICNN'94).

[60]  Brad Hutchings,et al.  RRANN: the run-time reconfiguration artificial neural network , 1994, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '94.

[61]  Jean Vuillemin,et al.  A reconfigurable arithmetic array for multimedia applications , 1999, FPGA '99.

[62]  Michael D. Smith,et al.  A high-performance microarchitecture with hardware-programmable functional units , 1994, Proceedings of MICRO-27. The 27th Annual IEEE/ACM International Symposium on Microarchitecture.

[63]  Guangming Lu,et al.  MorphoSys: a reconfigurable architecture for multimedia applications , 1998, Proceedings. XI Brazilian Symposium on Integrated Circuit Design (Cat. No.98EX216).

[64]  Julian Francis Miller,et al.  Evolution of Digital Filters Using a Gate Array Model , 1999, EvoWorkshops.

[65]  Adrian Thompson,et al.  Evolutionary design of single electron systems , 2000, Proceedings. The Second NASA/DoD Workshop on Evolvable Hardware.

[66]  Mark de Wit,et al.  A dynamic reconfiguration run-time system , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).

[67]  A. Smith,et al.  PRISM-II compiler and architecture , 1993, [1993] Proceedings IEEE Workshop on FPGAs for Custom Computing Machines.

[68]  Seth Copen Goldstein,et al.  PipeRench: A Reconfigurable Architecture and Compiler , 2000, Computer.

[69]  Peter M. Athanas,et al.  Wormhole run-time reconfiguration , 1997, FPGA '97.

[70]  N. J. Macias,et al.  Ring around the PIG: a parallel GA with only local interactions coupled with a self-reconfigurable hardware platform to implement an O(1) evolutionary cycle for evolvable hardware , 1999, Proceedings of the 1999 Congress on Evolutionary Computation-CEC99 (Cat. No. 99TH8406).

[71]  Arno Kunzmann,et al.  Analysis of the XC6000 architecture for embedded system design , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

[72]  Maya Gokhale,et al.  The NAPA adaptive processing architecture , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

[73]  Hideharu Amano,et al.  WASMII: a data driven computer on a virtual hardware , 1993, [1993] Proceedings IEEE Workshop on FPGAs for Custom Computing Machines.

[74]  Carl Ebeling,et al.  Architecture design of reconfigurable pipelined datapaths , 1999, Proceedings 20th Anniversary Conference on Advanced Research in VLSI.

[75]  Jürgen Becker,et al.  Architecture and application of a dynamically reconfigurable hardware array for future mobile communication systems , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[76]  Wayne P. Burleson,et al.  Reconfiguration for power saving in real-time motion estimation , 1998, Proceedings of the 1998 IEEE International Conference on Acoustics, Speech and Signal Processing, ICASSP '98 (Cat. No.98CH36181).

[77]  Stephen Purcell Mpact 2 media processor: balanced 2X performance , 1997, Electronic Imaging.

[78]  André DeHon,et al.  DPGA-coupled microprocessors: commodity ICs for the early 21st Century , 1994, Proceedings of IEEE Workshop on FPGA's for Custom Computing Machines.

[79]  Kunle Olukotun,et al.  A quantitative analysis of reconfigurable coprocessors for multimedia applications , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

[80]  Ranga Vemuri,et al.  An effective design system for dynamically reconfigurable architectures , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

[81]  John Wawrzynek,et al.  The Garp Architecture and C Compiler , 2000, Computer.

[82]  Marco Tomassini,et al.  The firefly machine: online evolware , 1997, Proceedings of 1997 IEEE International Conference on Evolutionary Computation (ICEC '97).

[83]  Gunnar Tufte,et al.  An evolvable hardware FPGA for adaptive hardware , 2000, Proceedings of the 2000 Congress on Evolutionary Computation. CEC00 (Cat. No.00TH8512).

[84]  Brad L. Hutchings,et al.  JHDL-an HDL for reconfigurable systems , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

[85]  John Woodfill,et al.  Real-time stereo vision on the PARTS reconfigurable computer , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).

[86]  Peter Athanas,et al.  Finding lines and building pyramids with SPLASH 2 , 1994, Proceedings of IEEE Workshop on FPGA's for Custom Computing Machines.

[87]  Demetris G. Galatopoullos,et al.  Run-time execution of reconfigurable hardware in a Java environment , 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

[88]  Russell Tessier,et al.  c ○ 2001 Kluwer Academic Publishers. Manufactured in The Netherlands. Reconfigurable Computing for Digital Signal Processing: A Survey ∗ , 1999 .

[89]  Sarma B. K. Vrudhula,et al.  Hardware-software bipartitioning for dynamically reconfigurable systems , 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).

[90]  Juanjo Noguera,et al.  A HW/SW partitioning algorithm for dynamically reconfigurable architectures , 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

[91]  Philip James-Roxby,et al.  Adapting constant multipliers in a neural network implementation , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[92]  John D. Villasenor,et al.  Video communications using rapidly reconfigurable hardware , 1995, IEEE Trans. Circuits Syst. Video Technol..

[93]  Wayne Luk,et al.  Combining serialisation and reconfiguration for convolver designs , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[94]  Scott Hauck,et al.  Configuration relocation and defragmentation for reconfigurable computing , 2000, Proceedings 2000 IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00871).

[95]  Scott Hauck,et al.  Configuration prefetch for single context reconfigurable coprocessors , 1998, FPGA '98.

[96]  Didier Demigny,et al.  Reconfigurable hardware for real time image processing , 2000, Proceedings 2000 International Conference on Image Processing (Cat. No.00CH37101).

[97]  John Wawrzynek,et al.  Reconfigurable computing: what, why, and implications for design automation , 1999, DAC '99.

[98]  B. Von Herzen Signal processing at 250 MHz using high-performance FPGA's , 1998, IEEE Trans. Very Large Scale Integr. Syst..

[99]  Mohamad Sawan,et al.  PARC: a new pyramidal FPGA architecture based on a RISC processor , 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).

[100]  D. Jones,et al.  A time-multiplexed FPGA architecture for logic emulation , 1995, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference.

[101]  Bill Salefski,et al.  Re-configurable computing in wireless , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[102]  Herman Schmit Incremental reconfiguration for pipelined applications , 1997, Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).

[103]  Steven K. Knapp Using Programmable Logic to Accelerate DSP Functions , 1995 .

[104]  Andrew M. Tyrrell,et al.  Design of highly parallel edge detection nodes using evolutionary techniques , 1999, Proceedings of the Seventh Euromicro Workshop on Parallel and Distributed Processing. PDP'99.

[105]  André DeHon,et al.  MATRIX: a reconfigurable computing architecture with configurable instruction distribution and deployable resources , 1996, 1996 Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.

[106]  Paul M. Chau,et al.  Adaptive FEC on a reconfigurable processor for wireless multimedia communications , 1998, ISCAS '98. Proceedings of the 1998 IEEE International Symposium on Circuits and Systems (Cat. No.98CH36187).

[107]  Wayne Luk,et al.  Automating production of run-time reconfigurable designs , 1998, Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).

[108]  Jian Liang,et al.  Adaptive systems on a chip (aSoC) for low-power signal processing , 2001, Conference Record of Thirty-Fifth Asilomar Conference on Signals, Systems and Computers (Cat.No.01CH37256).

[109]  John D. Villasenor,et al.  Configurable computing solutions for automatic target recognition , 1996, 1996 Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.

[110]  Sakir Sezer,et al.  A virtual hardware handler for RTR systems , 1999, Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00375).

[111]  Kwyro Lee,et al.  A design of the new FPGA with data path logic and run time block reconfiguration method , 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).

[112]  Mohamad Sawan,et al.  New custom computing machine dedicated to fast dynamic configuration applications , 1999, ICECS'99. Proceedings of ICECS '99. 6th IEEE International Conference on Electronics, Circuits and Systems (Cat. No.99EX357).

[113]  Stuart J. Flockton,et al.  Intrinsic Circuit Evolution Using Programmable Analogue Arrays , 1998, ICES.

[114]  Mark Shand,et al.  Programmable active memories: reconfigurable systems come of age , 1996, IEEE Trans. Very Large Scale Integr. Syst..

[115]  Brad L. Hutchings,et al.  Design methodologies for partially reconfigured systems , 1995, Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.

[116]  Anshul Kumar,et al.  Speeding up program execution using reconfigurable hardware and a hardware function library , 1998, Proceedings Eleventh International Conference on VLSI Design.

[117]  Gunnar Tufte,et al.  Bridging the genotype-phenotype mapping for digital FPGAs , 2001, Proceedings Third NASA/DoD Workshop on Evolvable Hardware. EH-2001.