Exploit imbalanced cell writes to mitigate write disturbance in dense Phase Change Memory
暂无分享,去创建一个
Jun Yang | Youtao Zhang | Linzhang Wang | Lei Jiang | Rujia Wang | Jun Yang | Youtao Zhang | Linzhang Wang | Lei Jiang | Rujia Wang
[1] David A. Wood,et al. Adaptive cache compression for high-performance processors , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..
[2] Vijayalakshmi Srinivasan,et al. Scalable high performance main memory system using phase-change memory technology , 2009, ISCA '09.
[3] Long Chen,et al. Memory Architecture for Integrating Emerging Memory Technologies , 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.
[4] Tao Li,et al. Helmet: A resistance drift resilient architecture for multi-level cell phase change memory system , 2011, 2011 IEEE/IFIP 41st International Conference on Dependable Systems & Networks (DSN).
[5] Jun Yang,et al. A durable and energy efficient main memory using phase change memory technology , 2009, ISCA '09.
[6] Hsien-Hsin S. Lee,et al. SAFER: Stuck-At-Fault Error Recovery for Memories , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[7] Vijayalakshmi Srinivasan,et al. Efficient scrub mechanisms for error-prone emerging memories , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[8] Jun Yang,et al. Mitigating Write Disturbance in Super-Dense Phase Change Memories , 2014, 2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.
[9] Chilhee Chung,et al. Current status and future prospect of Phase Change Memory , 2011, 2011 9th IEEE International Conference on ASIC.
[10] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[11] Qi Wang,et al. A 20nm 1.8V 8Gb PRAM with 40MB/s program bandwidth , 2012, 2012 IEEE International Solid-State Circuits Conference.
[12] Rami G. Melhem,et al. Bit mapping for balanced PCM cell programming , 2013, ISCA.
[13] Richard Veras,et al. RAIDR: Retention-aware intelligent DRAM refresh , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[14] Moinuddin K. Qureshi,et al. Improving read performance of Phase Change Memories via Write Cancellation and Write Pausing , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[15] Duane Mills,et al. A 45nm 1Gb 1.8V phase-change memory , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[16] C. Chung,et al. Reliability perspectives for high density PRAM manufacturing , 2011, 2011 International Electron Devices Meeting.
[17] H.J. Kim,et al. Programming disturbance and cell scaling in phase change memory: For up to 16nm based 4F2 cell , 2010, 2010 Symposium on VLSI Technology.
[18] Karin Strauss,et al. Use ECP, not ECC, for hard failures in resistive memories , 2010, ISCA.
[19] Onur Mutlu,et al. Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.