Review on Thermal related VLSI Design
暂无分享,去创建一个
[1] Yehea I. Ismail,et al. Thermal Management of On-Chip Caches Through Power Density Minimization , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[2] Shahin Nazarian,et al. Thermal Modeling, Analysis, and Management in VLSI Circuits: Principles and Methods , 2006, Proceedings of the IEEE.
[3] Kaushik Roy,et al. A CMOS thermal sensor and its applications in temperature adaptive design , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[4] Narayanan Vijaykrishnan,et al. Interconnect and thermal-aware floorplanning for 3D microprocessors , 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).
[5] Poki Chen,et al. An accurate CMOS delay-line-based smart temperature sensor for low-power low-cost systems , 2006 .
[6] Enrico Macii,et al. Thermal resilient bounded-skew clock tree optimization methodology , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[7] Narayanan Vijaykrishnan,et al. On-chip Bus Thermal Analysis and Optimization , 2006, Proceedings of the Design Automation & Test in Europe Conference.
[8] Sachin S. Sapatnekar,et al. Electrothermal analysis and optimization techniques for nanoscale integrated circuits , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[9] Li Shang,et al. TAPHS: thermal-aware unified physical-level and high-level synthesis , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[10] Olivier Peyran,et al. Design planning for uniform thermal distribution , 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).
[11] S. Naffziger,et al. Power and temperature control on a 90-nm Itanium family processor , 2006, IEEE Journal of Solid-State Circuits.
[12] K. Banerjee,et al. Scaling analysis of multilevel interconnect temperatures for high-performance ICs , 2005, IEEE Transactions on Electron Devices.
[13] Kaushik Roy,et al. A leakage control system for thermal stability during burn-in test , 2005, IEEE International Conference on Test, 2005..
[14] Gabor C. Temes,et al. Design-oriented estimation of thermal noise in switched-capacitor circuits , 2005, IEEE Transactions on Circuits and Systems I: Regular Papers.
[15] Glenn Reinman,et al. Reducing the latency and area cost of core swapping through shared helper engines , 2005, 2005 International Conference on Computer Design.
[16] S. Naffziger,et al. Power and temperature control on a 90nm Itanium/sup /spl reg//-family processor , 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..
[17] J.G. Bai,et al. Three-dimensional packaging for power semiconductor devices and modules , 2005, IEEE Transactions on Advanced Packaging.
[18] B. Dang,et al. Sea of leads compliant I/O interconnect process integration for the ultimate enabling of chips with low-k interlayer dielectrics , 2005, IEEE Transactions on Advanced Packaging.
[19] C.P. Wong,et al. Aligned carbon nanotubes for electrical interconnect and thermal management , 2005, Proceedings Electronic Components and Technology, 2005. ECTC '05..
[20] Seda Ogrenci Memik,et al. Temperature-aware resource allocation and binding in high-level synthesis , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[21] David H. Albonesi,et al. QUILT: a GUI-based integrated circuit floorplanning environment for computer architecture research and education , 2005, WCAE '05.
[22] Kaustav Banerjee,et al. Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[23] M. Yagoub,et al. Rigorous thermal treatment of heat generation and heat transfer in GaAs-based HBT device modeling , 2005, EuroSimE 2005. Proceedings of the 6th International Conference on Thermal, Mechanial and Multi-Physics Simulation and Experiments in Micro-Electronics and Micro-Systems, 2005..
[24] K. Black,et al. Modeling subthreshold leakage and thermal stability in a production life test environment , 2005, Semiconductor Thermal Measurement and Management IEEE Twenty First Annual IEEE Symposium, 2005..
[25] Jaume Segura,et al. Smart temperature sensor for thermal testing of cell-based ICs , 2005, Design, Automation and Test in Europe.
[26] José González,et al. Distributing the frontend for temperature reduction , 2005, 11th International Symposium on High-Performance Computer Architecture.
[27] Takashi SATO,et al. On-chip thermal gradient analysis and temperature flattening for SoC design , 2005, ASP-DAC '05.
[28] Yong Zhan,et al. Fast computation of the temperature distribution in VLSI chips using the discrete cosine transform and table look-up , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
[29] Ranga Vemuri,et al. On physical-aware synthesis of vertically integrated 3D systems , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.
[30] S. M. Alam,et al. Circuit and System Level Tools for Thermal-Aware Reliability Assessments of IC Designs Project , 2005 .
[31] T. Sullivan,et al. Thermal and electromigration challenges for advanced interconnects , 2004, IEEE International Integrated Reliability Workshop Final Report, 2004.
[32] José González,et al. Thermal-aware clustered microarchitectures , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[33] Narayanan Vijaykrishnan,et al. Thermal-aware IP virtualization and placement for networks-on-chip architecture , 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..
[34] Kaustav Banerjee,et al. Subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
[35] Kevin Skadron,et al. Compact thermal modeling for temperature-aware design , 2004, Proceedings. 41st Design Automation Conference, 2004..
[36] Kai Xiu,et al. Thermal modeling of a small extreme power density macro on a high power density microprocessor chip in the presence of realistic packaging and interconnect structures , 2004, 2004 Proceedings. 54th Electronic Components and Technology Conference (IEEE Cat. No.04CH37546).
[37] Pinaki Mazumder,et al. Fast thermal analysis for VLSI circuits via semi-analytical Green's function in multi-layer materials , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
[38] Gianluca Piccinini,et al. An electromigration and thermal model of power wires for a priori high-level reliability prediction , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[39] Man Lung Mui,et al. Power supply optimization in sub-130 nm leakage dominant technologies , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
[40] Charlie Chung-Ping Chen,et al. SPICE-compatible thermal simulation with lumped circuit modeling for thermal reliability analysis based on modeling order reduction , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
[41] Kevin Skadron,et al. Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.
[42] M. Cheng,et al. Modeling of thermal behavior in SOI structures , 2004, IEEE Transactions on Electron Devices.
[43] Margaret Martonosi,et al. Temperature-Aware Design Issues for SMT and CMP Architectures , 2004 .
[44] Kevin Skadron,et al. Evaluating the Thermal Efficiency of SMT and CMP Architectures , 2004 .
[45] Lei He,et al. Microarchitecture level power and thermal simulation considering temperature dependent leakage model , 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..
[46] Sani R. Nassif,et al. Full chip leakage estimation considering power supply and temperature variations , 2003, ISLPED '03.
[47] Sarita V. Adve,et al. Predictive dynamic thermal management for multimedia applications , 2003, ICS '03.
[48] Márta Rencz,et al. A fast algorithm for the layout based electro-thermal simulation , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[49] Anantha Chandrakasan,et al. Three-dimensional integrated circuits: performance, design methodology, and CAD tools , 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..
[50] C. H. Lim,et al. Design of VLSI CMOS circuits under thermal constraint , 2002 .
[51] Charlie Chung-Ping Chen,et al. 3-D Thermal-ADI: a linear-time chip level transient thermal simulator , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[52] H. R. Millwater,et al. Advanced experimental and computational tools for robust evaluation of on-chip interconnect reliability , 2002 .
[53] C.E. Christoffersen,et al. Global coupled EM-electrical-thermal simulation and experimental validation for a spatial power combining MMIC array , 2002, 2002 IEEE MTT-S International Microwave Symposium Digest (Cat. No.02CH37278).
[54] James Douglas,et al. Designing a 3 GHz, 130 nm, Intel Pentium 4 processor , 2002 .
[55] Li-Kong Wang,et al. Performance projection and thermal management of high performance VLSI designs , 2001, 2001 6th International Conference on Solid-State and Integrated Circuit Technology. Proceedings (Cat. No.01EX443).
[56] R. Reif,et al. Thermal analysis of three-dimensional (3-D) integrated circuits (ICs) , 2001, Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No.01EX461).
[57] Margaret Martonosi,et al. Dynamic thermal management for high-performance microprocessors , 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
[58] Antonio Rubio,et al. Thermal coupling in integrated circuits: application to thermal testing , 2001, IEEE J. Solid State Circuits.
[59] Kaustav Banerjee,et al. Effect of via separation and low-k dielectric materials on the thermal characteristics of Cu interconnects , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).
[60] Siegfried Selberherr,et al. Electro-thermal effects in mixed-mode device simulation , 2000, 2000 International Semiconductor Conference. 23rd Edition. CAS 2000 Proceedings (Cat. No.00TH8486).
[61] Robert W. Dutton,et al. Full chip thermal simulation , 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).
[62] Sung-Mo Kang,et al. Interconnect thermal modeling for accurate simulation of circuittiming and reliability , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[63] Sung-Mo Kang,et al. An efficient method for hot-spot identification in ULSI circuits , 1999, ICCAD '99.
[64] Vivek De,et al. Technology and design challenges for low power and high performance [microprocessors] , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).
[65] Alberto L. Sangiovanni-Vincentelli,et al. On thermal effects in deep sub-micron VLSI interconnects , 1999, DAC '99.
[66] Erven Rohou,et al. Dynamically Managing Processor Temperature and Power , 1999 .
[67] Sung-Mo Kang,et al. ILLIADS-T: an electrothermal timing simulator for temperature-sensitive reliability diagnosis of CMOS VLSI chips , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[68] John Choma,et al. A novel model for on-chip heat dissipation , 1998, IEEE. APCCAS 1998. 1998 IEEE Asia-Pacific Conference on Circuits and Systems. Microelectronics and Integrating Systems. Proceedings (Cat. No.98EX242).
[69] Tong Li,et al. Efficient transient electrothermal simulation of CMOS VLSI circuits under electrical overstress , 1998, ICCAD '98.
[70] Márta Rencz,et al. Tracing the Thermal Behavior of ICs , 1998, IEEE Des. Test Comput..
[71] Said F. Al-Sarawi,et al. A Review of 3-D Packaging Technology , 1998 .
[72] Herming Chiueh,et al. A THERMAL EVALUATION OF INTEGRATED CIRCUITS : ON CHIP OFFSET TEMPERATURE MEASUREMENT AND MODELING , 1998 .
[73] Márta Rencz,et al. Electro-thermal and logi-thermal simulation of VLSI designs , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[74] S. Lindenkreuz,et al. Fully coupled dynamic electro-thermal simulation , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[75] Peter Schwarz,et al. Electro-thermal circuit simulation using simulator coupling , 1997, IEEE Trans. Very Large Scale Integr. Syst..
[76] Olivier Temam,et al. Data caches for superscalar processors , 1997, ICS '97.
[77] Martin D. F. Wong,et al. A matrix synthesis approach to thermal placement , 1997, ISPD '97.
[78] H. Vinke,et al. Recent achievements in the thermal characterization of electronic devices by means of boundary condition independent compact models , 1997, Thirteenth Annual IEEE. Semiconductor Thermal Measurement and Management Symposium.
[79] Sung-Mo Kang,et al. ETS-A: a new electrothermal simulator for CMOS VLSI circuits , 1996, Proceedings ED&TC European Design and Test Conference.
[80] Laxmi N. Bhuyan,et al. High-performance computer architecture , 1995, Future Gener. Comput. Syst..
[81] J.H. Huijsing,et al. Micropower CMOS Smart Temperature Sensor , 1995, ESSCIRC '95: Twenty-first European Solid-State Circuits Conference.
[82] H. Mantooth,et al. Modeling and Simulation of Electrical and Thermal Interaction , 1995 .
[83] Sung-Mo Kang,et al. Circuit-level electrothermal simulation of electrical overstress failures in advanced MOS I/O protection devices , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..