Powering Up Dark Silicon: Mitigating the Limitation of Power Delivery via Dynamic Pin Switching
暂无分享,去创建一个
Bin Li | Zhou Zhao | Lu Peng | Ashok Srivastava | Yue Hu | Ying Zhang | Jin-Woo Choi | Shaoming Chen | Edward Song | B. Li | Ying Zhang | Lu Peng | Jin-Woo Choi | A. Srivastava | Shaoming Chen | Yue Hu | Zhou Zhao | Edward Song | Bin Li
[1] アール. タネンバウム アラン,et al. Serial/parallel converter , 1991 .
[2] Dean M. Tullsen,et al. Simultaneous multithreading: Maximizing on-chip parallelism , 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.
[3] Nicholas I. Fisher,et al. Bump hunting in high-dimensional data , 1999, Stat. Comput..
[4] Yen-Kuang Chen,et al. The ALPBench Benchmark Suite for Multimedia Applications , 2005 .
[5] Bruce Jacob,et al. Memory Systems: Cache, DRAM, Disk , 2007 .
[6] Bohn Stafleu van Loghum,et al. Online … , 2002, LOG IN.
[7] Eby G. Friedman,et al. Power Distribution Networks with On-Chip Decoupling Capacitors , 2007 .
[8] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[9] Joungho Kim,et al. Modeling of chip-package-PCB hierarchical power distribution network based on segmentation method , 2008, 2008 Electrical Design of Advanced Packaging and Systems Symposium.
[10] Brian Rogers,et al. Scaling the bandwidth wall: challenges in and avenues for CMP scaling , 2009, ISCA '09.
[11] Bin Li,et al. Versatile prediction and fast estimation of Architectural Vulnerability Factor from processor performance metrics , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.
[12] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[13] Babak Falsafi,et al. Power Scaling: the Ultimate Obstacle to 1K-Core Chips , 2010 .
[14] Vikram Bhatt,et al. The GreenDroid Mobile Application Processor: An Architecture for Silicon's Dark Future , 2011, IEEE Micro.
[15] Bradford M. Beckmann,et al. The gem5 simulator , 2011, CARN.
[16] A. Ailamaki,et al. Toward Dark Silicon in Servers , 2011, IEEE Micro.
[17] Chris Fallin,et al. Memory power management via dynamic voltage/frequency scaling , 2011, ICAC '11.
[18] Gu-Yeon Wei,et al. A fully-integrated 3-level DC/DC converter for nanosecond-scale DVS with fast shunt regulation , 2011, 2011 IEEE International Solid-State Circuits Conference.
[19] Hsien-Hsin S. Lee,et al. 3D-MAPS: 3D Massively parallel processor with stacked memory , 2012, 2012 IEEE International Solid-State Circuits Conference.
[20] Marios C. Papaefthymiou,et al. Computational sprinting , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[21] Karthikeyan Sankaralingam,et al. Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.
[22] Michael Bedford Taylor,et al. Is dark silicon useful? Harnessing the four horsemen of the coming dark silicon apocalypse , 2012, DAC Design Automation Conference 2012.
[23] Xiang Pan,et al. Booster: Reactive core acceleration for mitigating the effects of process variation and application imbalance in low-voltage chips , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[24] Wei Huang,et al. Some Limits of Power Delivery in the Multicore Era , 2012 .
[25] Jing Liu,et al. Optimization and Evaluation of a High-Performance Liquid Metal CPU Cooling Product , 2013, IEEE Transactions on Components, Packaging and Manufacturing Technology.
[26] Kevin Skadron,et al. Architecture implications of pads as a scarce resource , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
[27] Lu Peng,et al. Increasing off-chip bandwidth in multi-core processors with switchable pins , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).