The Design of a Cycle Accurate Multi-core Architecture Performance Simulator

As multi-core technology has become the trend to improve the performance of processor, there is more need to design a performance simulator for the design of multi-core architecture and for the evaluation of system performance. However there are few simulators that support different architectures of multi-core processor well. This paper presents a design and implementation of a cycle accurate multi-core processor architecture simulator, it is a component design, which can be customized to different multi-core architectures, furthermore, provides a practical tool for the design and evaluation of multi-core architecture.

[1]  G. D. Nagendra,et al.  Simulation Bridge: a framework for multi-processor simulation , 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).

[2]  Norman P. Jouppi,et al.  Single-ISA Heterogeneous Multi-Core Architectures: The Potential for Processor Power Reduction , 2003, MICRO.

[3]  Anoop Gupta,et al.  Complete computer system simulation: the SimOS approach , 1995, IEEE Parallel Distributed Technol. Syst. Appl..

[4]  Milo M. K. Martin,et al.  Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset , 2005, CARN.

[5]  Luiz André Barroso,et al.  Piranha: a scalable architecture based on single-chip multiprocessing , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

[6]  William J. Dally,et al.  The M-machine multicomputer , 1997, Proceedings of the 28th Annual International Symposium on Microarchitecture.

[7]  Kunle Olukotun,et al.  A Single-Chip Multiprocessor , 1997, Computer.

[8]  Rajesh Gupta,et al.  CATS: Cycle Accurate Transaction-driven Simulation with Multiple Processor Simulators , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[9]  Yusuf Leblebici,et al.  A simulation methodology for reliability analysis in multi-core SoCs , 2006, GLSVLSI '06.

[10]  Todd M. Austin,et al.  SimpleScalar: An Infrastructure for Computer System Modeling , 2002, Computer.

[11]  Norman P. Jouppi,et al.  A Multi-Core Approach to Addressing the Energy-Complexity Problem in Microprocessors , 2003 .

[12]  Alan D. George,et al.  Parallel simulation of chip-multiprocessor architectures , 2002, TOMC.

[13]  Soonhoi Ha,et al.  CATS: cycle accurate transaction-driven simulation with multiple processor simulators , 2007 .

[14]  John L. Hennessy,et al.  The accuracy of trace-driven simulations of multiprocessors , 1993, SIGMETRICS '93.

[15]  Norman P. Jouppi,et al.  Processor Power Reduction Via Single-ISA Heterogeneous Multi-Core Architectures , 2003, IEEE Computer Architecture Letters.

[16]  Fredrik Larsson,et al.  Simics: A Full System Simulation Platform , 2002, Computer.

[17]  D. Scott Wills,et al.  Architecture of the Atlas chip-multiprocessor: dynamically parallelizing irregular applications , 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).