Frontiers of timing

The Integrated Circuit (IC) industry is approaching an important transition. For decades, the persistent downscaling of feature sizes has enabled a rapidly growing integration and exponentially increasing circuit performance. But process variations and device aging are posing increasingly serious challenges to the sustainability of the current development model of integrated circuits. Accordingly, timing, as one of the core performance metrics, needs to evolve with these new challenges. New timing paradigms are already appearing on the horizon. In this extended abstract, timing challenges resulting from process variations and aging will be reviewed. Techniques to take these effects into account will be discussed, such as efficient aging aware transistor/gate modeling. Post-silicon tuning is covered as a promising technique to react to these timing challenges. Furthermore, techniques to push timing beyond its traditional limit, such as modeling the interdependence of setup time and hold time, will be reviewed. Together, these techniques represent a potential future framework for timing in the nanometer era.

[1]  Shushanik Karapetyan,et al.  Integrating aging aware timing analysis into a commercial STA tool , 2015, VLSI Design, Automation and Test(VLSI-DAT).

[2]  David Blaauw,et al.  Slack borrowing in flip-flop based sequential circuits , 2005, GLSVLSI '05.

[3]  Jie Han,et al.  Approximate computing: An emerging paradigm for energy-efficient design , 2013, 2013 18th IEEE European Test Symposium (ETS).

[4]  Yu Cao,et al.  Mapping statistical process variations toward circuit performance variability: an analytical modeling approach , 2005, Proceedings. 42nd Design Automation Conference, 2005..

[5]  Ulf Schlichtmann,et al.  Predicting future product performance: Modeling and evaluation of standard cells in FinFET technologies , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[6]  Sachin S. Sapatnekar,et al.  Statistical timing analysis considering spatial correlations using a single PERT-like traversal , 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

[7]  Yu Cao,et al.  Exploring sub-20nm FinFET design with Predictive Technology Models , 2012, DAC Design Automation Conference 2012.

[8]  Ning Chen,et al.  Iterative timing analysis based on nonlinear and interdependent flipflop modelling , 2012, IET Circuits Devices Syst..

[9]  Ulf Schlichtmann,et al.  Statistical Timing Analysis and Criticality Computation for Circuits With Post-Silicon Clock Tuning Elements , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[10]  Sachin S. Sapatnekar,et al.  NBTI-Aware Synthesis of Digital Circuits , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[11]  Ulf Schlichtmann,et al.  EffiTest: Efficient delay test and statistical prediction for configuring post-silicon tunable buffers , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[12]  Yiyu Shi,et al.  Selective Body Biasing for Post-Silicon Tuning of Sub-Threshold Designs: An Adaptive Filtering Approach , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[13]  Samuel Naffziger,et al.  Multi-Threaded Itanium®-Family Processor , 2005 .

[14]  Yiyu Shi,et al.  Design-Phase Buffer Allocation for Post-Silicon Clock Binning by Iterative Learning , 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Subhasish Mitra,et al.  Testing for Transistor Aging , 2009, 2009 27th IEEE VLSI Test Symposium.

[16]  Shishpal Rawat,et al.  EDA challenges facing future microprocessor design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[17]  Andrew B. Kahng,et al.  New game, new goal posts: A recent history of timing closure , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[18]  R.H. Dennard,et al.  Design Of Ion-implanted MOSFET's with Very Small Physical Dimensions , 1974, Proceedings of the IEEE.

[19]  Ulf Schlichtmann,et al.  Efficiently analyzing the impact of aging effects on large integrated circuits , 2012, Microelectron. Reliab..

[20]  David Blaauw,et al.  Statistical Timing Analysis: From Basic Principles to State of the Art , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[21]  Ulf Schlichtmann,et al.  PieceTimer: A holistic timing analysis framework considering setup/hold time interdependency using a piecewise model , 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[22]  Ulf Schlichtmann,et al.  Reliability challenges for electric vehicles: From devices to architecture and systems software , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[23]  Yu-Ming Yang,et al.  Criticality-dependency-aware timing characterization and analysis , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[24]  Andrew B. Kahng,et al.  Timing margin recovery with flexible flip-flop timing model , 2014, Fifteenth International Symposium on Quality Electronic Design.

[25]  Andreas Gerstlauer,et al.  Multi-level approximate logic synthesis under general error constraints , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[26]  Shushanik Karapetyan,et al.  FinFET-based product performance: Modeling and evaluation of standard cells in FinFET technologies , 2016, Microelectron. Reliab..

[27]  K. Steinhubl Design of Ion-Implanted MOSFET'S with Very Small Physical Dimensions , 1974 .

[28]  Bing Li,et al.  Fast statistical timing analysis for circuits with Post-Silicon Tunable clock buffers , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[29]  Jeng-Liang Tsai,et al.  Statistical timing analysis driven post-silicon-tunable clock-tree synthesis , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

[30]  Andrew R. Brown,et al.  Statistical variability and reliability in nanoscale FinFETs , 2011, 2011 International Electron Devices Meeting.

[31]  Joel Grodstein,et al.  AutoRex: An automated post-silicon clock tuning tool , 2009, 2009 International Test Conference.

[32]  Natesan Venkateswaran,et al.  First-Order Incremental Block-Based Statistical Timing Analysis , 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[33]  Emre Salman,et al.  Exploiting Setup–Hold-Time Interdependence in Static Timing Analysis , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[34]  Muhammad Shafique,et al.  The EDA challenges in the dark silicon era , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[35]  Lou Scheffer The Count of Monte Carlo , 2004 .

[36]  D. Sylvester,et al.  A Statistical Framework for Post-Silicon Tuning through Body Bias Clustering , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[37]  Kaushik Roy,et al.  Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits , 2006, Proceedings of the Design Automation & Test in Europe Conference.

[38]  Sani R. Nassif Modeling and forecasting of manufacturing variations , 2000, 2000 5th International Workshop on Statistical Metrology (Cat.No.00TH8489.

[39]  Trevor Mudge,et al.  Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

[40]  Ulf Schlichtmann,et al.  Aging analysis at gate and macro cell level , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[41]  Ulf Schlichtmann,et al.  Monitoring of aging in integrated circuits by identifying possible critical paths , 2014, Microelectron. Reliab..

[42]  C.H. Kim,et al.  An Analytical Model for Negative Bias Temperature Instability , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[43]  Ulf Schlichtmann,et al.  A compact model for NBTI degradation and recovery under use-profile variations and its application to aging analysis of digital integrated circuits , 2014, Microelectron. Reliab..

[44]  Nicola Nicolici,et al.  A Novel Algorithmic Approach to Aid Post-Silicon Delay Measurement and Clock Tuning , 2014, IEEE Transactions on Computers.

[45]  Sarma B. K. Vrudhula,et al.  A Methodology for Characterization of Large Macro Cells and IP Blocks Considering Process Variations , 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

[46]  Ulf Schlichtmann,et al.  Aging analysis of circuit timing considering NBTI and HCI , 2009, 2009 15th IEEE International On-Line Testing Symposium.

[47]  Yang Xu,et al.  On Timing Model Extraction and Hierarchical Statistical Timing Analysis , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[48]  Walter Schneider,et al.  On hierarchical statistical static timing analysis , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[49]  Domenik Helms,et al.  RT level timing modeling for aging prediction , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[50]  Qiang Xu,et al.  Online clock skew tuning for timing speculation , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[51]  Ulf Schlichtmann,et al.  Timing verification for adaptive integrated circuits , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[52]  Jörg Henkel,et al.  Reliability-aware design to suppress aging , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[53]  Ulf Schlichtmann,et al.  Sampling-based buffer insertion for post-silicon yield improvement under process variability , 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).