An Efficient Technique for Chip Temperature Optimization of Multiprocessor Systems in the Dark Silicon Era

In the dark silicon era, a fundamental problem is: given a real-time computation demand represented by a set of independent applications with their own power consumption, how to determine if an on-chip multiprocessor system is able to respond to this demand and maintain its reliability by keeping every core within the safe temperature range. In this paper, we first present a novel thermal model for the prediction of chip peak temperature assuming the application-to-core mapping is determined. The mathematical model combines linearized steady-state thermal model with empirical scaling factors to achieve significantly improved accuracy and running efficiency. Based on it, a MILP-based approach is presented to find the optimal application-to-core assignment such that the computation demand is met and the chip temperature is minimized. At last, if the minimized temperature still exceeds the safe temperature threshold, a novel heuristic algorithm, called temperature threshold-aware result handling (TTRH), is proposed to drop certain applications selectively from immediate execution, and lower the chip peak temperature to the safety threshold. Extensive performance evaluation shows that the MILP-based approach can reduce the chip peak temperature by 9.1 oC on average compared to traditional techniques. TTRH algorithm can further lower the chip peak temperature by 1.38° C on average with the application dropping rate of less than 4.35%.

[1]  Karthikeyan Sankaralingam,et al.  Dark Silicon and the End of Multicore Scaling , 2012, IEEE Micro.

[2]  Stephen P. Boyd,et al.  Temperature-aware processor frequency assignment for MPSoCs using convex optimization , 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[3]  Muhammad Shafique,et al.  MatEx: Efficient transient and peak temperature computation for compact thermal models , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[4]  P. Tipler,et al.  Physics for scientists and engineers : with modern physics , 2008 .

[5]  Raymond A. Serway,et al.  Printed test bank to accompany Physics for scientists and engineers with modern physics , 1982 .

[6]  Jung Ho Ahn,et al.  McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[7]  Muhammad Shafique,et al.  Variability-aware dark silicon management in on-chip many-core systems , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[8]  Xiaobo Sharon Hu,et al.  Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs , 2011, IEEE Trans. Very Large Scale Integr. Syst..

[9]  Xiaobo Sharon Hu,et al.  Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs , 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Heba Khdr,et al.  TSP: Thermal Safe Power - Efficient power budgeting for many-core systems in dark silicon , 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

[11]  Douglas C. Giancoli Physics for scientists and engineers with modern physics volume I / Douglas C. Giancoli , 1994 .

[12]  Siddharth Garg,et al.  Analysis and evaluation of greedy thread swapping based dynamic power management for MPSoC platforms , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

[13]  Tajana Simunic,et al.  Proactive temperature balancing for low cost thermal management in MPSoCs , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.