A Retrospective and Prospective View of Approximate Computing [Point of View}
暂无分享,去创建一个
[1] Sparsh Mittal,et al. A Survey of Techniques for Approximate Computing , 2016, ACM Comput. Surv..
[2] Hadi Esmaeilzadeh,et al. AxBench: A Multiplatform Benchmark Suite for Approximate Computing , 2017, IEEE Design & Test.
[3] Scott A. Mahlke,et al. SAGE: Self-tuning approximation for graphics engines , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[4] Fabrizio Lombardi,et al. A Review, Classification, and Comparative Evaluation of Approximate Arithmetic Circuits , 2017, ACM J. Emerg. Technol. Comput. Syst..
[5] Chen-Yu Chen,et al. Energy-aware hybrid precision selection framework for mobile GPUs , 2013, Comput. Graph..
[6] Wei Zhang,et al. Low-Power FPGA Design Using Memoization-Based Approximate Computing , 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[7] David A. Patterson,et al. In-datacenter performance analysis of a tensor processing unit , 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).
[8] Kaushik Roy,et al. SALSA: Systematic logic synthesis of approximate circuits , 2012, DAC Design Automation Conference 2012.
[9] Tajana Simunic,et al. ORCHARD: Visual object recognition accelerator based on approximate in-memory processing , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[10] Fabrizio Lombardi,et al. New Metrics for the Reliability of Approximate and Probabilistic Adders , 2013, IEEE Transactions on Computers.
[11] Kaushik Roy,et al. Quality programmable vector processors for approximate computing , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[12] Máire O'Neill,et al. Approximate Computing and Its Application to Hardware Security , 2018, Cyber-Physical Systems Security.
[13] Henry Hoffmann,et al. Managing performance vs. accuracy trade-offs with loop perforation , 2011, ESEC/FSE '11.
[14] Lingamneni Avinash,et al. Ten Years of Building Broken Chips: The Physics and Engineering of Inexact Computing , 2013, TECS.
[15] Glenn Reinman,et al. Accelerating divergent applications on SIMD architectures using neural networks , 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).
[16] Joel Silberman,et al. A Scalable Multi- TeraOPS Deep Learning Processor Core for AI Trainina and Inference , 2018, 2018 IEEE Symposium on VLSI Circuits.
[17] Arnab Raha,et al. Quality Configurable Approximate DRAM , 2017, IEEE Transactions on Computers.
[18] Alan Edelman,et al. Language and compiler support for auto-tuning variable-accuracy algorithms , 2011, International Symposium on Code Generation and Optimization (CGO 2011).
[19] Thu D. Nguyen,et al. ApproxHadoop: Bringing Approximations to MapReduce Frameworks , 2015, ASPLOS.
[20] Akash Kumar,et al. SMApproxLib: Library of FPGA-based Approximate Multipliers , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
[21] Fabrizio Lombardi,et al. Design and Evaluation of Approximate Logarithmic Multipliers for Low Power Error-Tolerant Applications , 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.
[22] Kaushik Roy,et al. Approximate computing and the quest for computing efficiency , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).