Simulation of Power Grid Networks Considering Wires and Lognormal Leakage Current Variations

As the technology scales into 90 nm and below, process-induced variations become more pronounced. In this paper, we propose an efficient stochastic method for analyzing the voltage drop variations of on-chip power grid networks, considering both wire and log-normal leakage current variations. The new analysis is based on the Hermite polynomial chaos (PC) representation of random processes. Different from the existing Hermite PC based method for power grid analysis, which considers only wire variations and model all the random variations as Gaussian processes. The new method considers both wire variations and leakage current variations. We model the variational sub-threshold leakage currents as log-normal distribution random variables. Our experiment results show that the new method is more accurate than the Gaussian-only Hermite PC method using the Taylor expansion method for analyzing leakage current variations, and two orders of magnitude faster than the Monte Carlo method with small variance errors

[1]  J R A Beale,et al.  Solid State Electronic Devices , 1973 .

[2]  John G. Proakis,et al.  Probability, random variables and stochastic processes , 1985, IEEE Trans. Acoust. Speech Signal Process..

[3]  Richard L. Scheaffer,et al.  Probability and statistics for engineers , 1986 .

[4]  R. Ghanem,et al.  Stochastic Finite Elements: A Spectral Approach , 1990 .

[5]  D. Burnett,et al.  Implications of fundamental threshold voltage variations for high-density SRAM and logic circuits , 1994, Proceedings of 1994 VLSI Technology Symposium.

[6]  Ronald A. Rohrer,et al.  Electronic Circuit and System Simulation Methods , 1994 .

[7]  Norman C. Beaulieu,et al.  Estimating the distribution of a sum of independent lognormal random variables , 1995, IEEE Trans. Commun..

[8]  Duane S. Boning,et al.  Analysis and decomposition of spatial variation in integrated circuit processes and devices , 1997 .

[9]  S.R. Nassif Within-chip variability analysis , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[10]  Vivek De,et al.  Technology and design challenges for low power and high performance [microprocessors] , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[11]  R. Ghanem The Nonlinear Gaussian Spectrum of Log-Normal Stochastic Processes and Variables , 1999 .

[12]  Dimitri Antoniadis,et al.  Impact of using adaptive body bias to compensate die-to-die Vt variation on within-die Vt variation , 1999, Proceedings. 1999 International Symposium on Low Power Electronics and Design (Cat. No.99TH8477).

[13]  S. Nassif,et al.  Delay variability: sources, impacts and trends , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[14]  Shishpal Rawat,et al.  EDA challenges facing future microprocessor design , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[15]  José Pineda de Gyvez,et al.  Threshold voltage and power-supply tolerance of CMOS logic design families , 2000, Proceedings IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

[16]  Sani R. Nassif Design for Variability in DSM Technologies , 2000 .

[17]  J.D. Meindl,et al.  Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution , 2001, 2001 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC (Cat. No.01CH37177).

[18]  William J. Bowhill,et al.  Design of High-Performance Microprocessor Circuits , 2001 .

[19]  Anantha Chandrakasan,et al.  Full-chip sub-threshold leakage power prediction model for sub-0.18 μm CMOS , 2002, ISLPED '02.

[20]  Vivek De,et al.  Sub-90nm technologies: challenges and opportunities for CAD , 2002, ICCAD 2002.

[21]  Rajendran Panda,et al.  Statistical timing analysis using bounds and selective enumeration , 2002, TAU '02.

[22]  David Blaauw,et al.  Modeling and analysis of leakage power considering within-die process variations , 2002, ISLPED '02.

[23]  Vivek De,et al.  Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage , 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

[24]  F. Najm,et al.  Statistical Verification of Power Grids Considering Process-Induced Leakage Current Variations , 2003, ICCAD.

[25]  D. Xiu,et al.  Modeling uncertainty in flow simulations via generalized polynomial chaos , 2003 .

[26]  Editors , 2003 .

[27]  Farid N. Najm,et al.  Statistical estimation of leakage-induced power grid voltage drop considering within-die process variations , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

[28]  David Blaauw,et al.  Statistical analysis of subthreshold leakage current for VLSI circuits , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[29]  Rajendran Panda,et al.  A stochastic approach to power grid analysis , 2004, Proceedings. 41st Design Automation Conference, 2004..

[30]  S. Vrudhula,et al.  Stochastic analysis of interconnect performance in the presence of process variations , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[31]  Sachin S. Sapatnekar,et al.  Statistical timing analysis under spatial correlations , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[32]  Rajendran Panda,et al.  Stochastic power grid analysis considering process variations , 2005, Design, Automation and Test in Europe.

[33]  Farid N. Najm,et al.  Analysis and verification of power grids considering process-induced leakage-current variations , 2003, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.