Dependable Multithreaded Processing Using Runtime Validation
暂无分享,去创建一个
[1] Eduardo Sanchez,et al. A Study of a Simultaneous Multithreaded Processor Implementation , 1999, Euro-Par.
[2] Todd M. Austin,et al. Scalable hybrid verification of complex microprocessors , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[3] Haitham Akkary,et al. A dynamic multithreading processor , 1998, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture.
[4] Shubhendu S. Mukherjee,et al. Transient fault detection via simultaneous multithreading , 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
[5] Theo Ungerer,et al. Multithreaded Processors , 2002, Comput. J..
[6] Todd M. Austin,et al. DIVA: a reliable substrate for deep submicron microarchitecture design , 1999, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture.
[7] Lieven Eeckhout,et al. Quantifying the Impact of Input Data Sets on Program Behavior and its Applications , 2003, J. Instr. Level Parallelism.
[8] Irith Pomeranz,et al. Transient-fault recovery for chip multiprocessors , 2003, 30th Annual International Symposium on Computer Architecture, 2003. Proceedings..
[9] Dean M. Tullsen,et al. Supporting fine-grained synchronization on a simultaneous multithreading processor , 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.
[10] Kewal K. Saluja,et al. A study of time-redundant fault tolerance techniques for high-performance pipelined computers , 1989, [1989] The Nineteenth International Symposium on Fault-Tolerant Computing. Digest of Papers.
[11] David A. Wood,et al. Dynamic verification of end-to-end multiprocessor invariants , 2003, 2003 International Conference on Dependable Systems and Networks, 2003. Proceedings..
[12] Min Xu,et al. A "flight data recorder" for enabling full-system multiprocessor deterministic replay , 2003, ISCA '03.
[13] Randal E. Bryant,et al. Formal verification of superscalar microprocessors with multicycle functional units, exceptions, and branch prediction , 2000, Proceedings 37th Design Automation Conference.
[14] Todd M. Austin,et al. A fault tolerant approach to microprocessor design , 2001, 2001 International Conference on Dependable Systems and Networks.
[15] Eric Rotenberg,et al. AR-SMT: a microarchitectural approach to fault tolerance in microprocessors , 1999, Digest of Papers. Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing (Cat. No.99CB36352).
[16] Mikko H. Lipasti,et al. Dynamic Verification of Cache Coherence Protocols , 2004 .
[17] Albert Meixner,et al. Dynamic verification of sequential consistency , 2005, 32nd International Symposium on Computer Architecture (ISCA'05).
[18] Joel S. Emer,et al. The soft error problem: an architectural perspective , 2005, 11th International Symposium on High-Performance Computer Architecture.
[19] Phillip B. Gibbons,et al. Testing Shared Memories , 1997, SIAM J. Comput..
[20] Sarita V. Adve,et al. Shared Memory Consistency Models: A Tutorial , 1996, Computer.
[21] Anoop Gupta,et al. The SPLASH-2 programs: characterization and methodological considerations , 1995, ISCA.
[22] Todd M. Austin,et al. Efficient checker processor design , 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.
[23] Mark D. Hill,et al. Multiprocessors Should Support Simple Memory-Consistency Models , 1998, Computer.
[24] Donald Yeung,et al. Optimizing SMT Processors for High Single-Thread Performance , 2003, J. Instr. Level Parallelism.