Transparent DFT: a design for testability and test generation approach for synchronous sequential circuits
暂无分享,去创建一个
[1] Irith Pomeranz,et al. Improving the stuck-at fault coverage of functional test sequences by using limited-scan operations , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[2] Janak H. Patel,et al. HITEC: a test generation package for sequential circuits , 1991, Proceedings of the European Conference on Design Automation..
[3] Irith Pomeranz,et al. Techniques for improving the efficiency of sequential circuit test generation , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
[4] Srikanth Venkataraman,et al. A technique for fault diagnosis of defects in scan chains , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).
[5] Irith Pomeranz,et al. Vector restoration based static compaction of test sequences for synchronous sequential circuits , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.
[6] Ozgur Sinanoglu,et al. Modeling scan chain modifications for scan-in test power minimization , 2003, International Test Conference, 2003. Proceedings. ITC 2003..
[7] Irith Pomeranz,et al. On static compaction of test sequences for synchronous sequential circuits , 1996, DAC '96.
[8] Wu-Tung Cheng,et al. Intermittent scan chain fault diagnosis based on signal probability analysis , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[9] Ralph Marlett,et al. Selectable Length Partial Scan: A Method to Reduce Vector Length , 1991, 1991, Proceedings. International Test Conference.
[10] Ozgur Sinanoglu,et al. Aggressive test power reduction through test stimuli transformation , 2003, Proceedings 21st International Conference on Computer Design.
[11] Irith Pomeranz,et al. On the Use of Fully Specified Initial States for Testing of Synchronous Sequential Circuits , 2000, IEEE Trans. Computers.
[12] Miron Abramovici,et al. FREEZE: a new approach for testing sequential circuits , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.
[13] Bhargab B. Bhattacharya,et al. Testable design of non-scan sequential circuits using extra logic , 1995, Proceedings of the Fourth Asian Test Symposium.
[14] Irith Pomeranz,et al. A new approach to test generation and test compaction for scan circuits , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[15] Hideo Fujiwara. A New Class of Sequential Circuits with Combinational Test Generation Complexity , 2000, IEEE Trans. Computers.
[16] Elizabeth M. Rudnick,et al. Low-cost sequential ATPG with clock-control DFT , 2002, DAC '02.
[17] Jhing-Fa Wang,et al. Overall consideration of scan design and test generation , 1992, ICCAD.
[18] Chen-Shang Lin,et al. Test time reduction in scan designed circuits , 1993, 1993 European Conference on Design Automation with the European Event in ASIC Design.
[19] Dilip K. Bhavsar,et al. Automatic generation of critical-path tests for a partial-scan microprocessor , 2003, Proceedings 21st International Conference on Computer Design.