A 0.45-to-1.2-V Fully Digital Low-Dropout Voltage Regulator With Fast-Transient Controller for Near/Subthreshold Circuits

A low quiescent current digital low-dropout (DLDO) voltage regulator with fast-transient response time is proposed for self-powered wireless sensor applications operating at near/subthreshold supply voltage. The D-LDO regulator incorporates both hill-climbing and binary search algorithms (HCBS) in the control logic, thus leveraging on each other's strengths to minimize the output voltage's ripple and the quiescent current during the steady-state period as well as output voltage's spike and response time during the transition period. Additional features such as hysteresis mode control and freeze mode control are incorporated into the system to improve the performance of the D-LDO regulator. A dynamic comparator is proposed for the near/subthreshold supply voltage operation, which minimizes the voltage error and improves the maximum operating frequency. Fabricated in 130-nm CMOS technology, the D-LDO regulator regulates the output voltage VOUT from 350 to 1150 mV, while the input supply voltage VIN ranges from 450 to 1200 mV. At a VOUT of 450 mV, VIN of 500 mV and an operating frequency of 10 MHz, the regulator delivers 1500-μA load current with IQUIESCENT of 8.9 μA and a transient response time of 1.6 μs. The maximum current and power efficiencies reach 99.9% and 89.9%, respectively. The measured line regulation and load regulation are 1.6 and 0.6 mV/mA, respectively.

[1]  Kazunori Watanabe,et al.  0.5-V input digital LDO with 98.7% current efficiency and 2.7-µA quiescent current in 65nm CMOS , 2010, IEEE Custom Integrated Circuits Conference 2010.

[2]  Le-Ren Chang-Chien,et al.  Digitally Controlled Low-Dropout Regulator with Fast-Transient and Autotuning Algorithms , 2013, IEEE Transactions on Power Electronics.

[3]  Michael D. Seeman,et al.  Analysis and Optimization of Switched-Capacitor DC–DC Converters , 2008 .

[4]  Walter D. Leon-Salas,et al.  A Circuit for Energy Harvesting Using On-Chip Solar Cells , 2014, IEEE Transactions on Power Electronics.

[5]  Kazumasa Yanagisawa,et al.  A 1.39-V input fast-transient-response digital LDO composed of low-voltage MOS transistors in 40-nm CMOS process , 2011, IEEE Asian Solid-State Circuits Conference 2011.

[6]  Wing-Hung Ki,et al.  An NMOS-LDO Regulated Switched-Capacitor DC–DC Converter With Fast-Response Adaptive-Phase Digital Control , 2016, IEEE Transactions on Power Electronics.

[7]  Haoyu Wang,et al.  A Bridgeless Boost Rectifier for Low-Voltage Energy Harvesting Applications , 2013, IEEE Transactions on Power Electronics.

[8]  Sehwan Kim,et al.  Size and Topology Optimization for Supercapacitor-Based Sub-Watt Energy Harvesters , 2013, IEEE Transactions on Power Electronics.

[9]  Jun Zhou,et al.  A 40 nm Dual-Width Standard Cell Library for Near/Sub-Threshold Operation , 2012, IEEE Transactions on Circuits and Systems I: Regular Papers.

[10]  Boris Murmann Digitally Assisted Analog Circuits , 2006, IEEE Micro.

[11]  Saibal Mukhopadhyay,et al.  A Wide Conversion Ratio, Extended Input 3.5-μA Boost Regulator With 82% Efficiency for Low-Voltage Energy Harvesting , 2014, IEEE Transactions on Power Electronics.

[12]  David Blaauw,et al.  A modular 1mm3 die-stacked sensing platform with optical communication and multi-modal energy harvesting , 2012, 2012 IEEE International Solid-State Circuits Conference.

[13]  Wolfgang Pribyl,et al.  A digitally controlled linear voltage regulator in a 65nm CMOS process , 2010, 2010 17th IEEE International Conference on Electronics, Circuits and Systems.

[14]  Min Chen,et al.  A Survey on Internet of Things From Industrial Market Perspective , 2015, IEEE Access.

[15]  Zhe Zhang,et al.  An ultra-low voltage comparator with improved comparison time and reduced offset voltage , 2014, 2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS).

[16]  R.G.H. Eschauzier,et al.  Low-voltage low-power opamp based amplifiers , 1995 .

[17]  Boris Murmann,et al.  A Closed-Loop Reconfigurable Switched-Capacitor DC-DC Converter for Sub-mW Energy Harvesting Applications , 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

[18]  James Tschanz,et al.  A fully-digital phase-locked low dropout regulator in 32nm CMOS , 2012, 2012 Symposium on VLSI Circuits (VLSIC).

[19]  David Blaauw,et al.  Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits , 2010, Proceedings of the IEEE.

[20]  Jaeha Kim,et al.  Simulation and Analysis of Random Decision Errors in Clocked Comparators , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

[21]  David Blaauw,et al.  A Millimeter-Scale Energy-Autonomous Sensor System With Stacked Battery and Solar Cells , 2013, IEEE Journal of Solid-State Circuits.

[22]  S.R. Sanders,et al.  An ultra-low-power power management IC for energy-scavenged Wireless Sensor Nodes , 2008, 2008 IEEE Power Electronics Specialists Conference.

[23]  Michael D. Seeman,et al.  The Road to Fully Integrated DC–DC Conversion via the Switched-Capacitor Approach , 2013, IEEE Transactions on Power Electronics.

[24]  John A. Stankovic,et al.  Research Directions for the Internet of Things , 2014, IEEE Internet of Things Journal.

[25]  Ke-Horng Chen,et al.  Low-Ripple and Dual-Phase Charge Pump Circuit Regulated by Switched-Capacitor-Based Bandgap Reference , 2009, IEEE Transactions on Power Electronics.

[26]  Kaushik Roy,et al.  Digital Computation in Subthreshold Region for Ultralow-Power Operation: A Device–Circuit–Architecture Codesign Perspective , 2010, Proceedings of the IEEE.

[27]  Pranay Prabhat,et al.  8.1 An 80nW retention 11.7pJ/cycle active subthreshold ARM Cortex-M0+ subsystem in 65nm CMOS for WSN applications , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[28]  Ke-Horng Chen,et al.  A Low Quiescent Current Asynchronous Digital-LDO With PLL-Modulated Fast-DVS Power Management in 40 nm SoC for MIPS Performance Improvement , 2013, IEEE Journal of Solid-State Circuits.

[29]  Ke-Horng Chen,et al.  Low-Dropout Regulators With Adaptive Reference Control and Dynamic Push–Pull Techniques for Enhancing Transient Performance , 2009, IEEE Transactions on Power Electronics.

[30]  Zhe Zhang,et al.  A 2.89-μW clockless wireless dry-electrode ECG SoC for wearable sensors , 2015, 2015 IEEE Asian Solid-State Circuits Conference (A-SSCC).